# STDOUT: ---v---v---v---v---v--- ansible-playbook [core 2.16.0] config file = /etc/ansible/ansible.cfg configured module search path = ['/home/jenkins/.ansible/plugins/modules', '/usr/share/ansible/plugins/modules'] ansible python module location = /opt/ansible-2.16/lib/python3.11/site-packages/ansible ansible collection location = /WORKDIR/git-weekly-ci8cl8u9ff/.collection executable location = /opt/ansible-2.16/bin/ansible-playbook python version = 3.11.5 (main, Sep 7 2023, 00:00:00) [GCC 11.4.1 20230605 (Red Hat 11.4.1-2)] (/opt/ansible-2.16/bin/python) jinja version = 3.1.2 libyaml = True Using /etc/ansible/ansible.cfg as config file Skipping callback 'debug', as we already have a stdout callback. Skipping callback 'default', as we already have a stdout callback. Skipping callback 'minimal', as we already have a stdout callback. Skipping callback 'oneline', as we already have a stdout callback. PLAYBOOK: tests_combination.yml ************************************************ 1 plays in /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml PLAY [Combination test - test for (2 types of inputs) x (2 types of outputs) combination] *** TASK [Gathering Facts] ********************************************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:2 Saturday 24 February 2024 08:46:21 +0000 (0:00:00.011) 0:00:00.011 ***** ok: [sut] TASK [Generate an input log dir /var/log/inputdirectory] *********************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:15 Saturday 24 February 2024 08:46:22 +0000 (0:00:00.947) 0:00:00.959 ***** changed: [sut] => { "changed": true, "gid": 0, "group": "root", "mode": "0700", "owner": "root", "path": "/var/log/inputdirectory", "secontext": "unconfined_u:object_r:var_log_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [TEST CASE 0; Ensure that the role runs with complicated flows - M x N combinations] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:22 Saturday 24 February 2024 08:46:22 +0000 (0:00:00.194) 0:00:01.153 ***** TASK [fedora.linux_system_roles.logging : Set files output if files output is not defined and logging_inputs is not empty] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:7 Saturday 24 February 2024 08:46:22 +0000 (0:00:00.028) 0:00:01.181 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_outputs | d([]) | selectattr('name', 'defined') | selectattr('type', 'defined') | selectattr('type', 'match', '^files$') | list | length == 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Set rsyslog_outputs] ***************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:14 Saturday 24 February 2024 08:46:22 +0000 (0:00:00.022) 0:00:01.204 ***** ok: [sut] => { "ansible_facts": { "rsyslog_outputs": [ { "exclude": [ "authpriv.none", "auth.none", "cron.none", "mail.none" ], "name": "files_test0", "path": "/var/log/messages", "severity": "info", "type": "files" }, { "facility": "authpriv,auth", "name": "files_test1", "path": "/var/log/secure", "type": "files" }, { "facility": "local1", "name": "forwards_severity_and_facility", "severity": "info", "target": "host.domain", "tcp_port": 1514, "type": "forwards" }, { "facility": "local2", "name": "forwards_facility_only", "target": "host.domain", "tcp_port": 2514, "type": "forwards" } ] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set rsyslog_inputs] ****************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:18 Saturday 24 February 2024 08:46:22 +0000 (0:00:00.024) 0:00:01.229 ***** ok: [sut] => { "ansible_facts": { "rsyslog_inputs": [ { "endmsg_regex": "xyz", "input_log_path": "/var/log/inputdirectory/*.log", "name": "files_input", "type": "files" }, { "name": "basic_input", "ratelimit_burst": 33333, "type": "basics" }, { "name": "basic_input", "ratelimit_burst": 44444, "type": "basics" } ] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set custom_config_files fact] ******** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:22 Saturday 24 February 2024 08:46:22 +0000 (0:00:00.024) 0:00:01.253 ***** skipping: [sut] => (item={'name': 'files_test0', 'type': 'files', 'severity': 'info', 'exclude': ['authpriv.none', 'auth.none', 'cron.none', 'mail.none'], 'path': '/var/log/messages'}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "exclude": [ "authpriv.none", "auth.none", "cron.none", "mail.none" ], "name": "files_test0", "path": "/var/log/messages", "severity": "info", "type": "files" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'files_test1', 'type': 'files', 'facility': 'authpriv,auth', 'path': '/var/log/secure'}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "facility": "authpriv,auth", "name": "files_test1", "path": "/var/log/secure", "type": "files" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'forwards_severity_and_facility', 'type': 'forwards', 'facility': 'local1', 'severity': 'info', 'target': 'host.domain', 'tcp_port': 1514}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "facility": "local1", "name": "forwards_severity_and_facility", "severity": "info", "target": "host.domain", "tcp_port": 1514, "type": "forwards" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'forwards_facility_only', 'type': 'forwards', 'facility': 'local2', 'target': 'host.domain', 'tcp_port': 2514}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "facility": "local2", "name": "forwards_facility_only", "target": "host.domain", "tcp_port": 2514, "type": "forwards" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.logging : Check logging_inputs item in logging_flows.inputs] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:34 Saturday 24 February 2024 08:46:22 +0000 (0:00:00.037) 0:00:01.291 ***** skipping: [sut] => (item={'name': 'flow_0', 'inputs': ['basic_input'], 'outputs': ['files_test0', 'files_test1', 'forwards_severity_and_facility', 'forwards_facility_only']}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.inputs | intersect(__logging_input_names) | length != item.inputs | length", "item": { "inputs": [ "basic_input" ], "name": "flow_0", "outputs": [ "files_test0", "files_test1", "forwards_severity_and_facility", "forwards_facility_only" ] }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'flow_1', 'inputs': ['files_input'], 'outputs': ['files_test0', 'files_test1']}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.inputs | intersect(__logging_input_names) | length != item.inputs | length", "item": { "inputs": [ "files_input" ], "name": "flow_1", "outputs": [ "files_test0", "files_test1" ] }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.logging : Gather ports specified in the logging_inputs and outputs vars] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:44 Saturday 24 February 2024 08:46:22 +0000 (0:00:00.034) 0:00:01.325 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_tls_tcp_ports] **** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:3 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.028) 0:00:01.354 ***** ok: [sut] => { "ansible_facts": { "logging_tls_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tcp_ports] ******** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:7 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.021) 0:00:01.375 ***** ok: [sut] => { "ansible_facts": { "logging_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tls_udp_ports] **** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:11 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.021) 0:00:01.397 ***** ok: [sut] => { "ansible_facts": { "logging_tls_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_udp_ports] ******** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:15 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.023) 0:00:01.421 ***** ok: [sut] => { "ansible_facts": { "logging_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Parameter 'port' values] ************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:24 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.020) 0:00:01.441 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:88 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.018) 0:00:01.460 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:92 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.020) 0:00:01.480 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:96 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.019) 0:00:01.499 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:100 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.018) 0:00:01.518 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:104 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.018) 0:00:01.536 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:108 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.018) 0:00:01.555 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:153 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.019) 0:00:01.574 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:157 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.018) 0:00:01.593 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:161 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.018) 0:00:01.611 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:165 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.018) 0:00:01.630 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage firewall on the gathered ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:47 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.018) 0:00:01.648 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_firewall_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:7 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.027) 0:00:01.675 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add tcp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:11 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.017) 0:00:01.693 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add udp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:17 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.015) 0:00:01.708 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage firewall for specified ports] ************************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:23 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.014) 0:00:01.723 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage selinux on the gathered ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:50 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.018) 0:00:01.742 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_selinux_ports] **** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:7 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.029) 0:00:01.771 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add non tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:11 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.018) 0:00:01.790 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:16 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.006) 0:00:01.796 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add non tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:21 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.006) 0:00:01.803 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:26 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.006) 0:00:01.810 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage selinux for specified ports] ************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:31 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.006) 0:00:01.816 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Generate certificates] *************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:54 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.020) 0:00:01.837 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml for sut TASK [Generate certificates] *************************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml:2 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.028) 0:00:01.865 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_certificates | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Re-read facts after adding custom fact] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:60 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.018) 0:00:01.884 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug dir] ************ task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:64 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.018) 0:00:01.902 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Delete debug file] ******************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:70 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.018) 0:00:01.921 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug file] *********** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:75 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.018) 0:00:01.939 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Use a debug var to avoid an empty dict in with_dict] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:82 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.018) 0:00:01.958 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Populate rsyslog debug file] ********* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:86 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.018) 0:00:01.977 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [Include Rsyslog role] **************************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:97 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.019) 0:00:01.996 ***** TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:4 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.017) 0:00:02.014 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Ensure ansible_facts used by role] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:4 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.012) 0:00:02.026 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_required_facts | difference(ansible_facts.keys() | list) | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check if system is ostree] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:12 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.017) 0:00:02.044 ***** ok: [sut] => { "changed": false, "stat": { "exists": false } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set flag to indicate system is ostree] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:17 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.209) 0:00:02.254 ***** ok: [sut] => { "ansible_facts": { "__logging_is_ostree": false }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:21 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.014) 0:00:02.268 ***** ok: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml", "skip_reason": "Conditional result was False" } ok: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics_rhel7.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include /vars/main.yml from /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:31 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.024) 0:00:02.293 ***** skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Main rsyslog subrole] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:7 Saturday 24 February 2024 08:46:23 +0000 (0:00:00.042) 0:00:02.336 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get status of rsyslog packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:15 Saturday 24 February 2024 08:46:24 +0000 (0:00:00.041) 0:00:02.377 ***** skipping: [sut] => (item=iproute) => { "ansible_loop_var": "item", "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "item": "iproute", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=rsyslog) => { "ansible_loop_var": "item", "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "item": "rsyslog", "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset original confs - logging package is absent] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:22 Saturday 24 February 2024 08:46:24 +0000 (0:00:00.020) 0:00:02.398 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:30 Saturday 24 February 2024 08:46:24 +0000 (0:00:00.015) 0:00:02.414 ***** ok: [sut] => { "changed": false, "rc": 0, "results": [ "iproute-4.11.0-30.el7.x86_64 providing iproute is already installed", "rsyslog-8.24.0-57.el7_9.3.x86_64 providing rsyslog is already installed" ] } lsrpackages: iproute rsyslog TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset erased flag] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:40 Saturday 24 February 2024 08:46:24 +0000 (0:00:00.589) 0:00:03.003 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_erased": false }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 Saturday 24 February 2024 08:46:24 +0000 (0:00:00.010) 0:00:03.013 ***** ok: [sut] => { "ansible_facts": { "packages": { "NetworkManager": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-libnm": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-libnm", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-team": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-team", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-tui": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-tui", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "PyYAML": [ { "arch": "x86_64", "epoch": null, "name": "PyYAML", "release": "11.el7", "source": "rpm", "version": "3.10" } ], "acl": [ { "arch": "x86_64", "epoch": null, "name": "acl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "aic94xx-firmware": [ { "arch": "noarch", "epoch": null, "name": "aic94xx-firmware", "release": "6.el7", "source": "rpm", "version": "30" } ], "alsa-firmware": [ { "arch": "noarch", "epoch": null, "name": "alsa-firmware", "release": "2.el7", "source": "rpm", "version": "1.0.28" } ], "alsa-lib": [ { "arch": "x86_64", "epoch": null, "name": "alsa-lib", "release": "1.el7", "source": "rpm", "version": "1.1.8" } ], "alsa-tools-firmware": [ { "arch": "x86_64", "epoch": null, "name": "alsa-tools-firmware", "release": "1.el7", "source": "rpm", "version": "1.1.0" } ], "aspell": [ { "arch": "x86_64", "epoch": 12, "name": "aspell", "release": "9.el7", "source": "rpm", "version": "0.60.6.1" } ], "audit": [ { "arch": "x86_64", "epoch": null, "name": "audit", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs-python": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs-python", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "authconfig": [ { "arch": "x86_64", "epoch": null, "name": "authconfig", "release": "30.el7", "source": "rpm", "version": "6.2.8" } ], "avahi-libs": [ { "arch": "x86_64", "epoch": null, "name": "avahi-libs", "release": "20.el7", "source": "rpm", "version": "0.6.31" } ], "basesystem": [ { "arch": "noarch", "epoch": null, "name": "basesystem", "release": "7.el7.centos", "source": "rpm", "version": "10.0" } ], "bash": [ { "arch": "x86_64", "epoch": null, "name": "bash", "release": "35.el7_9", "source": "rpm", "version": "4.2.46" } ], "bc": [ { "arch": "x86_64", "epoch": null, "name": "bc", "release": "13.el7", "source": "rpm", "version": "1.06.95" } ], "beakerlib": [ { "arch": "noarch", "epoch": null, "name": "beakerlib", "release": "1.el7bkr", "source": "rpm", "version": "1.29.3" } ], "beakerlib-redhat": [ { "arch": "noarch", "epoch": null, "name": "beakerlib-redhat", "release": "33.el7bkr", "source": "rpm", "version": "1" } ], "bind-export-libs": [ { "arch": "x86_64", "epoch": 32, "name": "bind-export-libs", "release": "26.P2.el7_9.15", "source": "rpm", "version": "9.11.4" } ], "binutils": [ { "arch": "x86_64", "epoch": null, "name": "binutils", "release": "44.base.el7_9.1", "source": "rpm", "version": "2.27" } ], "biosdevname": [ { "arch": "x86_64", "epoch": null, "name": "biosdevname", "release": "2.el7", "source": "rpm", "version": "0.7.3" } ], "boost-date-time": [ { "arch": "x86_64", "epoch": null, "name": "boost-date-time", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-system": [ { "arch": "x86_64", "epoch": null, "name": "boost-system", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-thread": [ { "arch": "x86_64", "epoch": null, "name": "boost-thread", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "btrfs-progs": [ { "arch": "x86_64", "epoch": null, "name": "btrfs-progs", "release": "1.el7", "source": "rpm", "version": "4.9.1" } ], "bzip2-libs": [ { "arch": "x86_64", "epoch": null, "name": "bzip2-libs", "release": "13.el7", "source": "rpm", "version": "1.0.6" } ], "ca-certificates": [ { "arch": "noarch", "epoch": null, "name": "ca-certificates", "release": "72.el7_9", "source": "rpm", "version": "2023.2.60_v7.0.306" } ], "centos-logos": [ { "arch": "noarch", "epoch": null, "name": "centos-logos", "release": "3.el7.centos", "source": "rpm", "version": "70.0.6" } ], "centos-release": [ { "arch": "x86_64", "epoch": null, "name": "centos-release", "release": "9.2009.1.el7.centos", "source": "rpm", "version": "7" } ], "checkpolicy": [ { "arch": "x86_64", "epoch": null, "name": "checkpolicy", "release": "8.el7", "source": "rpm", "version": "2.5" } ], "chkconfig": [ { "arch": "x86_64", "epoch": null, "name": "chkconfig", "release": "1.el7", "source": "rpm", "version": "1.7.6" } ], "chrony": [ { "arch": "x86_64", "epoch": null, "name": "chrony", "release": "1.el7", "source": "rpm", "version": "3.4" } ], "cloud-init": [ { "arch": "x86_64", "epoch": null, "name": "cloud-init", "release": "24.el7", "source": "rpm", "version": "0.7.9" } ], "cloud-utils-growpart": [ { "arch": "noarch", "epoch": null, "name": "cloud-utils-growpart", "release": "5.el7", "source": "rpm", "version": "0.29" } ], "coreutils": [ { "arch": "x86_64", "epoch": null, "name": "coreutils", "release": "24.el7_9.2", "source": "rpm", "version": "8.22" } ], "cpio": [ { "arch": "x86_64", "epoch": null, "name": "cpio", "release": "28.el7", "source": "rpm", "version": "2.11" } ], "cpp": [ { "arch": "x86_64", "epoch": null, "name": "cpp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "cracklib": [ { "arch": "x86_64", "epoch": null, "name": "cracklib", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "cracklib-dicts": [ { "arch": "x86_64", "epoch": null, "name": "cracklib-dicts", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "createrepo": [ { "arch": "noarch", "epoch": null, "name": "createrepo", "release": "28.el7", "source": "rpm", "version": "0.9.9" } ], "cronie": [ { "arch": "x86_64", "epoch": null, "name": "cronie", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "cronie-anacron": [ { "arch": "x86_64", "epoch": null, "name": "cronie-anacron", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "crontabs": [ { "arch": "noarch", "epoch": null, "name": "crontabs", "release": "6.20121102git.el7", "source": "rpm", "version": "1.11" } ], "cryptsetup-libs": [ { "arch": "x86_64", "epoch": null, "name": "cryptsetup-libs", "release": "6.el7", "source": "rpm", "version": "2.0.3" } ], "curl": [ { "arch": "x86_64", "epoch": null, "name": "curl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "cyrus-sasl-lib": [ { "arch": "x86_64", "epoch": null, "name": "cyrus-sasl-lib", "release": "24.el7_9", "source": "rpm", "version": "2.1.26" } ], "dbus": [ { "arch": "x86_64", "epoch": 1, "name": "dbus", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-glib": [ { "arch": "x86_64", "epoch": null, "name": "dbus-glib", "release": "7.el7", "source": "rpm", "version": "0.100" } ], "dbus-libs": [ { "arch": "x86_64", "epoch": 1, "name": "dbus-libs", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-python": [ { "arch": "x86_64", "epoch": null, "name": "dbus-python", "release": "9.el7", "source": "rpm", "version": "1.1.1" } ], "deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "device-mapper": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "device-mapper-libs": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper-libs", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "dhclient": [ { "arch": "x86_64", "epoch": 12, "name": "dhclient", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "dhcp-common": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-common", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "dhcp-libs": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-libs", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "diffutils": [ { "arch": "x86_64", "epoch": null, "name": "diffutils", "release": "6.el7_9", "source": "rpm", "version": "3.3" } ], "dmidecode": [ { "arch": "x86_64", "epoch": 1, "name": "dmidecode", "release": "5.el7_9.1", "source": "rpm", "version": "3.2" } ], "dnf-data": [ { "arch": "noarch", "epoch": null, "name": "dnf-data", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "dracut": [ { "arch": "x86_64", "epoch": null, "name": "dracut", "release": "572.el7", "source": "rpm", "version": "033" } ], "dracut-config-rescue": [ { "arch": "x86_64", "epoch": null, "name": "dracut-config-rescue", "release": "572.el7", "source": "rpm", "version": "033" } ], "dracut-network": [ { "arch": "x86_64", "epoch": null, "name": "dracut-network", "release": "572.el7", "source": "rpm", "version": "033" } ], "dyninst": [ { "arch": "x86_64", "epoch": null, "name": "dyninst", "release": "3.el7", "source": "rpm", "version": "9.3.1" } ], "e2fsprogs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "e2fsprogs-libs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs-libs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "ebtables": [ { "arch": "x86_64", "epoch": null, "name": "ebtables", "release": "16.el7", "source": "rpm", "version": "2.0.10" } ], "efivar-libs": [ { "arch": "x86_64", "epoch": null, "name": "efivar-libs", "release": "12.el7", "source": "rpm", "version": "36" } ], "elfutils-default-yama-scope": [ { "arch": "noarch", "epoch": null, "name": "elfutils-default-yama-scope", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libelf": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libelf", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libs", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "epel-release": [ { "arch": "noarch", "epoch": null, "name": "epel-release", "release": "14", "source": "rpm", "version": "7" } ], "ethtool": [ { "arch": "x86_64", "epoch": 2, "name": "ethtool", "release": "10.el7", "source": "rpm", "version": "4.8" } ], "expat": [ { "arch": "x86_64", "epoch": null, "name": "expat", "release": "15.el7_9", "source": "rpm", "version": "2.1.0" } ], "file": [ { "arch": "x86_64", "epoch": null, "name": "file", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "file-libs": [ { "arch": "x86_64", "epoch": null, "name": "file-libs", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "filesystem": [ { "arch": "x86_64", "epoch": null, "name": "filesystem", "release": "25.el7", "source": "rpm", "version": "3.2" } ], "findutils": [ { "arch": "x86_64", "epoch": 1, "name": "findutils", "release": "6.el7", "source": "rpm", "version": "4.5.11" } ], "fipscheck": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "fipscheck-lib": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck-lib", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "firewalld": [ { "arch": "noarch", "epoch": null, "name": "firewalld", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "firewalld-filesystem": [ { "arch": "noarch", "epoch": null, "name": "firewalld-filesystem", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "freetype": [ { "arch": "x86_64", "epoch": null, "name": "freetype", "release": "14.el7_9.1", "source": "rpm", "version": "2.8" } ], "fxload": [ { "arch": "x86_64", "epoch": null, "name": "fxload", "release": "16.el7", "source": "rpm", "version": "2002_04_11" } ], "gawk": [ { "arch": "x86_64", "epoch": null, "name": "gawk", "release": "4.el7_3.1", "source": "rpm", "version": "4.0.2" } ], "gcc": [ { "arch": "x86_64", "epoch": null, "name": "gcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "gdbm": [ { "arch": "x86_64", "epoch": null, "name": "gdbm", "release": "8.el7", "source": "rpm", "version": "1.10" } ], "gettext": [ { "arch": "x86_64", "epoch": null, "name": "gettext", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "gettext-libs": [ { "arch": "x86_64", "epoch": null, "name": "gettext-libs", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "git": [ { "arch": "x86_64", "epoch": null, "name": "git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "glib2": [ { "arch": "x86_64", "epoch": null, "name": "glib2", "release": "9.el7_9", "source": "rpm", "version": "2.56.1" } ], "glibc": [ { "arch": "x86_64", "epoch": null, "name": "glibc", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-common": [ { "arch": "x86_64", "epoch": null, "name": "glibc-common", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-devel": [ { "arch": "x86_64", "epoch": null, "name": "glibc-devel", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-headers": [ { "arch": "x86_64", "epoch": null, "name": "glibc-headers", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "gmp": [ { "arch": "x86_64", "epoch": 1, "name": "gmp", "release": "15.el7", "source": "rpm", "version": "6.0.0" } ], "gnupg2": [ { "arch": "x86_64", "epoch": null, "name": "gnupg2", "release": "5.el7_5", "source": "rpm", "version": "2.0.22" } ], "gobject-introspection": [ { "arch": "x86_64", "epoch": null, "name": "gobject-introspection", "release": "1.el7", "source": "rpm", "version": "1.56.1" } ], "gpg-pubkey": [ { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "52ae6884", "source": "rpm", "version": "352c64e5" }, { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "53a7ff4b", "source": "rpm", "version": "f4a80eb5" } ], "gpgme": [ { "arch": "x86_64", "epoch": null, "name": "gpgme", "release": "5.el7", "source": "rpm", "version": "1.3.2" } ], "gpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "gpm-libs", "release": "6.el7", "source": "rpm", "version": "1.20.7" } ], "grep": [ { "arch": "x86_64", "epoch": null, "name": "grep", "release": "3.el7", "source": "rpm", "version": "2.20" } ], "groff-base": [ { "arch": "x86_64", "epoch": null, "name": "groff-base", "release": "8.el7", "source": "rpm", "version": "1.22.2" } ], "grub2": [ { "arch": "x86_64", "epoch": 1, "name": "grub2", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-common": [ { "arch": "noarch", "epoch": 1, "name": "grub2-common", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-pc", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc-modules": [ { "arch": "noarch", "epoch": 1, "name": "grub2-pc-modules", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-extra": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-extra", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-minimal": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-minimal", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grubby": [ { "arch": "x86_64", "epoch": null, "name": "grubby", "release": "26.el7", "source": "rpm", "version": "8.28" } ], "gssproxy": [ { "arch": "x86_64", "epoch": null, "name": "gssproxy", "release": "30.el7_9", "source": "rpm", "version": "0.7.0" } ], "gzip": [ { "arch": "x86_64", "epoch": null, "name": "gzip", "release": "11.el7_9", "source": "rpm", "version": "1.5" } ], "hardlink": [ { "arch": "x86_64", "epoch": 1, "name": "hardlink", "release": "19.el7", "source": "rpm", "version": "1.0" } ], "hostname": [ { "arch": "x86_64", "epoch": null, "name": "hostname", "release": "3.el7_7.1", "source": "rpm", "version": "3.13" } ], "hwdata": [ { "arch": "x86_64", "epoch": null, "name": "hwdata", "release": "9.7.el7", "source": "rpm", "version": "0.252" } ], "info": [ { "arch": "x86_64", "epoch": null, "name": "info", "release": "5.el7", "source": "rpm", "version": "5.1" } ], "initscripts": [ { "arch": "x86_64", "epoch": null, "name": "initscripts", "release": "1.el7_9.1", "source": "rpm", "version": "9.49.53" } ], "iproute": [ { "arch": "x86_64", "epoch": null, "name": "iproute", "release": "30.el7", "source": "rpm", "version": "4.11.0" } ], "iprutils": [ { "arch": "x86_64", "epoch": null, "name": "iprutils", "release": "3.el7_7", "source": "rpm", "version": "2.4.17.1" } ], "ipset": [ { "arch": "x86_64", "epoch": null, "name": "ipset", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "ipset-libs": [ { "arch": "x86_64", "epoch": null, "name": "ipset-libs", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "iptables": [ { "arch": "x86_64", "epoch": null, "name": "iptables", "release": "35.el7", "source": "rpm", "version": "1.4.21" } ], "iputils": [ { "arch": "x86_64", "epoch": null, "name": "iputils", "release": "10.el7", "source": "rpm", "version": "20160308" } ], "irqbalance": [ { "arch": "x86_64", "epoch": 3, "name": "irqbalance", "release": "12.el7", "source": "rpm", "version": "1.0.7" } ], "ivtv-firmware": [ { "arch": "noarch", "epoch": 2, "name": "ivtv-firmware", "release": "26.el7", "source": "rpm", "version": "20080701" } ], "iwl100-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl100-firmware", "release": "82.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl1000-firmware": [ { "arch": "noarch", "epoch": 1, "name": "iwl1000-firmware", "release": "82.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl105-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl105-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl135-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl135-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2000-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2030-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2030-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl3160-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3160-firmware", "release": "82.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "iwl3945-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3945-firmware", "release": "82.el7_9", "source": "rpm", "version": "15.32.2.9" } ], "iwl4965-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl4965-firmware", "release": "82.el7_9", "source": "rpm", "version": "228.61.2.24" } ], "iwl5000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5000-firmware", "release": "82.el7_9", "source": "rpm", "version": "8.83.5.1_1" } ], "iwl5150-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5150-firmware", "release": "82.el7_9", "source": "rpm", "version": "8.24.2.2" } ], "iwl6000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000-firmware", "release": "82.el7_9", "source": "rpm", "version": "9.221.4.1" } ], "iwl6000g2a-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2a-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6000g2b-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2b-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6050-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6050-firmware", "release": "82.el7_9", "source": "rpm", "version": "41.28.5.1" } ], "iwl7260-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl7260-firmware", "release": "82.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "jansson": [ { "arch": "x86_64", "epoch": null, "name": "jansson", "release": "1.el7", "source": "rpm", "version": "2.10" } ], "json-c": [ { "arch": "x86_64", "epoch": null, "name": "json-c", "release": "4.el7_0", "source": "rpm", "version": "0.11" } ], "kbd": [ { "arch": "x86_64", "epoch": null, "name": "kbd", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kbd-legacy": [ { "arch": "noarch", "epoch": null, "name": "kbd-legacy", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kbd-misc": [ { "arch": "noarch", "epoch": null, "name": "kbd-misc", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kernel": [ { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.el7", "source": "rpm", "version": "3.10.0" }, { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-debug-devel": [ { "arch": "x86_64", "epoch": null, "name": "kernel-debug-devel", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-headers": [ { "arch": "x86_64", "epoch": null, "name": "kernel-headers", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools-libs": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools-libs", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kexec-tools": [ { "arch": "x86_64", "epoch": null, "name": "kexec-tools", "release": "51.el7_9.3", "source": "rpm", "version": "2.0.15" } ], "keyutils": [ { "arch": "x86_64", "epoch": null, "name": "keyutils", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "keyutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "keyutils-libs", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "kmod": [ { "arch": "x86_64", "epoch": null, "name": "kmod", "release": "28.el7", "source": "rpm", "version": "20" } ], "kmod-libs": [ { "arch": "x86_64", "epoch": null, "name": "kmod-libs", "release": "28.el7", "source": "rpm", "version": "20" } ], "kpartx": [ { "arch": "x86_64", "epoch": null, "name": "kpartx", "release": "136.el7_9", "source": "rpm", "version": "0.4.9" } ], "krb5-libs": [ { "arch": "x86_64", "epoch": null, "name": "krb5-libs", "release": "55.el7_9", "source": "rpm", "version": "1.15.1" } ], "less": [ { "arch": "x86_64", "epoch": null, "name": "less", "release": "9.el7", "source": "rpm", "version": "458" } ], "libacl": [ { "arch": "x86_64", "epoch": null, "name": "libacl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "libassuan": [ { "arch": "x86_64", "epoch": null, "name": "libassuan", "release": "3.el7", "source": "rpm", "version": "2.1.0" } ], "libattr": [ { "arch": "x86_64", "epoch": null, "name": "libattr", "release": "13.el7", "source": "rpm", "version": "2.4.46" } ], "libbasicobjects": [ { "arch": "x86_64", "epoch": null, "name": "libbasicobjects", "release": "32.el7", "source": "rpm", "version": "0.1.1" } ], "libblkid": [ { "arch": "x86_64", "epoch": null, "name": "libblkid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libcap": [ { "arch": "x86_64", "epoch": null, "name": "libcap", "release": "11.el7", "source": "rpm", "version": "2.22" } ], "libcap-ng": [ { "arch": "x86_64", "epoch": null, "name": "libcap-ng", "release": "4.el7", "source": "rpm", "version": "0.7.5" } ], "libcgroup": [ { "arch": "x86_64", "epoch": null, "name": "libcgroup", "release": "21.el7", "source": "rpm", "version": "0.41" } ], "libcollection": [ { "arch": "x86_64", "epoch": null, "name": "libcollection", "release": "32.el7", "source": "rpm", "version": "0.7.0" } ], "libcom_err": [ { "arch": "x86_64", "epoch": null, "name": "libcom_err", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libcomps": [ { "arch": "x86_64", "epoch": null, "name": "libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "libcroco": [ { "arch": "x86_64", "epoch": null, "name": "libcroco", "release": "6.el7_9", "source": "rpm", "version": "0.6.12" } ], "libcurl": [ { "arch": "x86_64", "epoch": null, "name": "libcurl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "libdaemon": [ { "arch": "x86_64", "epoch": null, "name": "libdaemon", "release": "7.el7", "source": "rpm", "version": "0.14" } ], "libdb": [ { "arch": "x86_64", "epoch": null, "name": "libdb", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdb-utils": [ { "arch": "x86_64", "epoch": null, "name": "libdb-utils", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdnf": [ { "arch": "x86_64", "epoch": null, "name": "libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "libdrm": [ { "arch": "x86_64", "epoch": null, "name": "libdrm", "release": "2.el7", "source": "rpm", "version": "2.4.97" } ], "libdwarf": [ { "arch": "x86_64", "epoch": null, "name": "libdwarf", "release": "4.el7", "source": "rpm", "version": "20130207" } ], "libedit": [ { "arch": "x86_64", "epoch": null, "name": "libedit", "release": "12.20121213cvs.el7", "source": "rpm", "version": "3.0" } ], "libestr": [ { "arch": "x86_64", "epoch": null, "name": "libestr", "release": "2.el7", "source": "rpm", "version": "0.1.9" } ], "libevent": [ { "arch": "x86_64", "epoch": null, "name": "libevent", "release": "4.el7", "source": "rpm", "version": "2.0.21" } ], "libfastjson": [ { "arch": "x86_64", "epoch": null, "name": "libfastjson", "release": "3.el7", "source": "rpm", "version": "0.99.4" } ], "libffi": [ { "arch": "x86_64", "epoch": null, "name": "libffi", "release": "19.el7", "source": "rpm", "version": "3.0.13" } ], "libgcc": [ { "arch": "x86_64", "epoch": null, "name": "libgcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgcrypt": [ { "arch": "x86_64", "epoch": null, "name": "libgcrypt", "release": "14.el7", "source": "rpm", "version": "1.5.3" } ], "libgomp": [ { "arch": "x86_64", "epoch": null, "name": "libgomp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgpg-error": [ { "arch": "x86_64", "epoch": null, "name": "libgpg-error", "release": "3.el7", "source": "rpm", "version": "1.12" } ], "libidn": [ { "arch": "x86_64", "epoch": null, "name": "libidn", "release": "4.el7", "source": "rpm", "version": "1.28" } ], "libini_config": [ { "arch": "x86_64", "epoch": null, "name": "libini_config", "release": "32.el7", "source": "rpm", "version": "1.3.1" } ], "libmnl": [ { "arch": "x86_64", "epoch": null, "name": "libmnl", "release": "7.el7", "source": "rpm", "version": "1.0.3" } ], "libmodulemd": [ { "arch": "x86_64", "epoch": null, "name": "libmodulemd", "release": "1.el7", "source": "rpm", "version": "1.6.3" } ], "libmount": [ { "arch": "x86_64", "epoch": null, "name": "libmount", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libmpc": [ { "arch": "x86_64", "epoch": null, "name": "libmpc", "release": "3.el7", "source": "rpm", "version": "1.0.1" } ], "libndp": [ { "arch": "x86_64", "epoch": null, "name": "libndp", "release": "9.el7", "source": "rpm", "version": "1.2" } ], "libnetfilter_conntrack": [ { "arch": "x86_64", "epoch": null, "name": "libnetfilter_conntrack", "release": "1.el7_3", "source": "rpm", "version": "1.0.6" } ], "libnfnetlink": [ { "arch": "x86_64", "epoch": null, "name": "libnfnetlink", "release": "4.el7", "source": "rpm", "version": "1.0.1" } ], "libnfsidmap": [ { "arch": "x86_64", "epoch": null, "name": "libnfsidmap", "release": "19.el7", "source": "rpm", "version": "0.25" } ], "libnl3": [ { "arch": "x86_64", "epoch": null, "name": "libnl3", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libnl3-cli": [ { "arch": "x86_64", "epoch": null, "name": "libnl3-cli", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libpath_utils": [ { "arch": "x86_64", "epoch": null, "name": "libpath_utils", "release": "32.el7", "source": "rpm", "version": "0.2.1" } ], "libpciaccess": [ { "arch": "x86_64", "epoch": null, "name": "libpciaccess", "release": "1.el7", "source": "rpm", "version": "0.14" } ], "libpipeline": [ { "arch": "x86_64", "epoch": null, "name": "libpipeline", "release": "3.el7", "source": "rpm", "version": "1.2.3" } ], "libpng": [ { "arch": "x86_64", "epoch": 2, "name": "libpng", "release": "8.el7", "source": "rpm", "version": "1.5.13" } ], "libpwquality": [ { "arch": "x86_64", "epoch": null, "name": "libpwquality", "release": "5.el7", "source": "rpm", "version": "1.2.3" } ], "libref_array": [ { "arch": "x86_64", "epoch": null, "name": "libref_array", "release": "32.el7", "source": "rpm", "version": "0.1.5" } ], "librepo": [ { "arch": "x86_64", "epoch": null, "name": "librepo", "release": "8.el7_9", "source": "rpm", "version": "1.8.1" } ], "libreport-filesystem": [ { "arch": "x86_64", "epoch": null, "name": "libreport-filesystem", "release": "53.el7.centos", "source": "rpm", "version": "2.1.11" } ], "libseccomp": [ { "arch": "x86_64", "epoch": null, "name": "libseccomp", "release": "4.el7", "source": "rpm", "version": "2.3.1" } ], "libselinux": [ { "arch": "x86_64", "epoch": null, "name": "libselinux", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-python": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-python", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-utils": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-utils", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libsemanage": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsemanage-python": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage-python", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsepol": [ { "arch": "x86_64", "epoch": null, "name": "libsepol", "release": "10.el7", "source": "rpm", "version": "2.5" } ], "libsmartcols": [ { "arch": "x86_64", "epoch": null, "name": "libsmartcols", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libsolv": [ { "arch": "x86_64", "epoch": null, "name": "libsolv", "release": "4.el7", "source": "rpm", "version": "0.6.34" } ], "libss": [ { "arch": "x86_64", "epoch": null, "name": "libss", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libssh2": [ { "arch": "x86_64", "epoch": null, "name": "libssh2", "release": "4.el7_9.1", "source": "rpm", "version": "1.8.0" } ], "libstdc++": [ { "arch": "x86_64", "epoch": null, "name": "libstdc++", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libsysfs": [ { "arch": "x86_64", "epoch": null, "name": "libsysfs", "release": "16.el7", "source": "rpm", "version": "2.1.0" } ], "libtasn1": [ { "arch": "x86_64", "epoch": null, "name": "libtasn1", "release": "1.el7", "source": "rpm", "version": "4.10" } ], "libteam": [ { "arch": "x86_64", "epoch": null, "name": "libteam", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "libtirpc": [ { "arch": "x86_64", "epoch": null, "name": "libtirpc", "release": "0.16.el7", "source": "rpm", "version": "0.2.4" } ], "libunistring": [ { "arch": "x86_64", "epoch": null, "name": "libunistring", "release": "9.el7", "source": "rpm", "version": "0.9.3" } ], "libuser": [ { "arch": "x86_64", "epoch": null, "name": "libuser", "release": "9.el7", "source": "rpm", "version": "0.60" } ], "libutempter": [ { "arch": "x86_64", "epoch": null, "name": "libutempter", "release": "4.el7", "source": "rpm", "version": "1.1.6" } ], "libuuid": [ { "arch": "x86_64", "epoch": null, "name": "libuuid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libverto": [ { "arch": "x86_64", "epoch": null, "name": "libverto", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libverto-libevent": [ { "arch": "x86_64", "epoch": null, "name": "libverto-libevent", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libxml2": [ { "arch": "x86_64", "epoch": null, "name": "libxml2", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxml2-python": [ { "arch": "x86_64", "epoch": null, "name": "libxml2-python", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxslt": [ { "arch": "x86_64", "epoch": null, "name": "libxslt", "release": "6.el7", "source": "rpm", "version": "1.1.28" } ], "libyaml": [ { "arch": "x86_64", "epoch": null, "name": "libyaml", "release": "11.el7_0", "source": "rpm", "version": "0.1.4" } ], "linux-firmware": [ { "arch": "noarch", "epoch": null, "name": "linux-firmware", "release": "82.git78c0348.el7_9", "source": "rpm", "version": "20200421" } ], "logrotate": [ { "arch": "x86_64", "epoch": null, "name": "logrotate", "release": "19.el7", "source": "rpm", "version": "3.8.6" } ], "lshw": [ { "arch": "x86_64", "epoch": null, "name": "lshw", "release": "0.1.20180614git028f6b2.beaker.1.el7bkr.1", "source": "rpm", "version": "B.02.19" } ], "lsscsi": [ { "arch": "x86_64", "epoch": null, "name": "lsscsi", "release": "6.el7", "source": "rpm", "version": "0.27" } ], "lua": [ { "arch": "x86_64", "epoch": null, "name": "lua", "release": "15.el7", "source": "rpm", "version": "5.1.4" } ], "lz4": [ { "arch": "x86_64", "epoch": null, "name": "lz4", "release": "1.el7", "source": "rpm", "version": "1.8.3" } ], "lzo": [ { "arch": "x86_64", "epoch": null, "name": "lzo", "release": "8.el7", "source": "rpm", "version": "2.06" } ], "mailcap": [ { "arch": "noarch", "epoch": null, "name": "mailcap", "release": "2.el7", "source": "rpm", "version": "2.1.41" } ], "make": [ { "arch": "x86_64", "epoch": 1, "name": "make", "release": "24.el7", "source": "rpm", "version": "3.82" } ], "man-db": [ { "arch": "x86_64", "epoch": null, "name": "man-db", "release": "11.el7", "source": "rpm", "version": "2.6.3" } ], "mariadb-libs": [ { "arch": "x86_64", "epoch": 1, "name": "mariadb-libs", "release": "1.el7", "source": "rpm", "version": "5.5.68" } ], "microcode_ctl": [ { "arch": "x86_64", "epoch": 2, "name": "microcode_ctl", "release": "73.20.el7_9", "source": "rpm", "version": "2.1" } ], "mokutil": [ { "arch": "x86_64", "epoch": null, "name": "mokutil", "release": "8.el7", "source": "rpm", "version": "15" } ], "mozjs17": [ { "arch": "x86_64", "epoch": null, "name": "mozjs17", "release": "20.el7", "source": "rpm", "version": "17.0.0" } ], "mpfr": [ { "arch": "x86_64", "epoch": null, "name": "mpfr", "release": "4.el7", "source": "rpm", "version": "3.1.1" } ], "ncurses": [ { "arch": "x86_64", "epoch": null, "name": "ncurses", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-base": [ { "arch": "noarch", "epoch": null, "name": "ncurses-base", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-libs": [ { "arch": "x86_64", "epoch": null, "name": "ncurses-libs", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "net-tools": [ { "arch": "x86_64", "epoch": null, "name": "net-tools", "release": "0.25.20131004git.el7", "source": "rpm", "version": "2.0" } ], "newt": [ { "arch": "x86_64", "epoch": null, "name": "newt", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "newt-python": [ { "arch": "x86_64", "epoch": null, "name": "newt-python", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "nfs-utils": [ { "arch": "x86_64", "epoch": 1, "name": "nfs-utils", "release": "0.68.el7.2", "source": "rpm", "version": "1.3.0" } ], "nspr": [ { "arch": "x86_64", "epoch": null, "name": "nspr", "release": "1.el7_9", "source": "rpm", "version": "4.35.0" } ], "nss": [ { "arch": "x86_64", "epoch": null, "name": "nss", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-pem": [ { "arch": "x86_64", "epoch": null, "name": "nss-pem", "release": "7.el7_9.1", "source": "rpm", "version": "1.0.3" } ], "nss-softokn": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-softokn-freebl": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn-freebl", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-sysinit": [ { "arch": "x86_64", "epoch": null, "name": "nss-sysinit", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-tools": [ { "arch": "x86_64", "epoch": null, "name": "nss-tools", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-util": [ { "arch": "x86_64", "epoch": null, "name": "nss-util", "release": "1.el7_9", "source": "rpm", "version": "3.90.0" } ], "ntpdate": [ { "arch": "x86_64", "epoch": null, "name": "ntpdate", "release": "29.el7.centos.2", "source": "rpm", "version": "4.2.6p5" } ], "numactl-libs": [ { "arch": "x86_64", "epoch": null, "name": "numactl-libs", "release": "5.el7", "source": "rpm", "version": "2.0.12" } ], "openldap": [ { "arch": "x86_64", "epoch": null, "name": "openldap", "release": "25.el7_9", "source": "rpm", "version": "2.4.44" } ], "openssh": [ { "arch": "x86_64", "epoch": null, "name": "openssh", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-clients": [ { "arch": "x86_64", "epoch": null, "name": "openssh-clients", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-server": [ { "arch": "x86_64", "epoch": null, "name": "openssh-server", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssl": [ { "arch": "x86_64", "epoch": 1, "name": "openssl", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "openssl-libs": [ { "arch": "x86_64", "epoch": 1, "name": "openssl-libs", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "os-prober": [ { "arch": "x86_64", "epoch": null, "name": "os-prober", "release": "9.el7", "source": "rpm", "version": "1.58" } ], "p11-kit": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "p11-kit-trust": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit-trust", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "pam": [ { "arch": "x86_64", "epoch": null, "name": "pam", "release": "23.el7", "source": "rpm", "version": "1.1.8" } ], "parted": [ { "arch": "x86_64", "epoch": null, "name": "parted", "release": "32.el7", "source": "rpm", "version": "3.1" } ], "passwd": [ { "arch": "x86_64", "epoch": null, "name": "passwd", "release": "6.el7", "source": "rpm", "version": "0.79" } ], "pciutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "pciutils-libs", "release": "3.el7", "source": "rpm", "version": "3.5.1" } ], "pcre": [ { "arch": "x86_64", "epoch": null, "name": "pcre", "release": "17.el7", "source": "rpm", "version": "8.32" } ], "perl": [ { "arch": "x86_64", "epoch": 4, "name": "perl", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-Business-ISBN": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN", "release": "2.el7", "source": "rpm", "version": "2.06" } ], "perl-Business-ISBN-Data": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN-Data", "release": "2.el7", "source": "rpm", "version": "20120719.001" } ], "perl-CGI": [ { "arch": "noarch", "epoch": null, "name": "perl-CGI", "release": "4.el7", "source": "rpm", "version": "3.63" } ], "perl-Carp": [ { "arch": "noarch", "epoch": null, "name": "perl-Carp", "release": "244.el7", "source": "rpm", "version": "1.26" } ], "perl-Compress-Raw-Bzip2": [ { "arch": "x86_64", "epoch": null, "name": "perl-Compress-Raw-Bzip2", "release": "3.el7", "source": "rpm", "version": "2.061" } ], "perl-Compress-Raw-Zlib": [ { "arch": "x86_64", "epoch": 1, "name": "perl-Compress-Raw-Zlib", "release": "4.el7", "source": "rpm", "version": "2.061" } ], "perl-Data-Dumper": [ { "arch": "x86_64", "epoch": null, "name": "perl-Data-Dumper", "release": "3.el7", "source": "rpm", "version": "2.145" } ], "perl-Date-Manip": [ { "arch": "noarch", "epoch": null, "name": "perl-Date-Manip", "release": "2.el7", "source": "rpm", "version": "6.41" } ], "perl-Digest": [ { "arch": "noarch", "epoch": null, "name": "perl-Digest", "release": "245.el7", "source": "rpm", "version": "1.17" } ], "perl-Digest-MD5": [ { "arch": "x86_64", "epoch": null, "name": "perl-Digest-MD5", "release": "3.el7", "source": "rpm", "version": "2.52" } ], "perl-Encode": [ { "arch": "x86_64", "epoch": null, "name": "perl-Encode", "release": "7.el7", "source": "rpm", "version": "2.51" } ], "perl-Encode-Locale": [ { "arch": "noarch", "epoch": null, "name": "perl-Encode-Locale", "release": "5.el7", "source": "rpm", "version": "1.03" } ], "perl-Error": [ { "arch": "noarch", "epoch": 1, "name": "perl-Error", "release": "2.el7", "source": "rpm", "version": "0.17020" } ], "perl-Exporter": [ { "arch": "noarch", "epoch": null, "name": "perl-Exporter", "release": "3.el7", "source": "rpm", "version": "5.68" } ], "perl-FCGI": [ { "arch": "x86_64", "epoch": 1, "name": "perl-FCGI", "release": "8.el7", "source": "rpm", "version": "0.74" } ], "perl-File-Listing": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Listing", "release": "7.el7", "source": "rpm", "version": "6.04" } ], "perl-File-Path": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Path", "release": "2.el7", "source": "rpm", "version": "2.09" } ], "perl-File-Temp": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Temp", "release": "3.el7", "source": "rpm", "version": "0.23.01" } ], "perl-Filter": [ { "arch": "x86_64", "epoch": null, "name": "perl-Filter", "release": "3.el7", "source": "rpm", "version": "1.49" } ], "perl-Font-AFM": [ { "arch": "noarch", "epoch": null, "name": "perl-Font-AFM", "release": "13.el7", "source": "rpm", "version": "1.20" } ], "perl-Getopt-Long": [ { "arch": "noarch", "epoch": null, "name": "perl-Getopt-Long", "release": "3.el7", "source": "rpm", "version": "2.40" } ], "perl-Git": [ { "arch": "noarch", "epoch": null, "name": "perl-Git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "perl-HTML-Format": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Format", "release": "7.el7", "source": "rpm", "version": "2.10" } ], "perl-HTML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-HTML-Parser", "release": "4.el7", "source": "rpm", "version": "3.71" } ], "perl-HTML-Tagset": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Tagset", "release": "15.el7", "source": "rpm", "version": "3.20" } ], "perl-HTML-Tree": [ { "arch": "noarch", "epoch": 1, "name": "perl-HTML-Tree", "release": "2.el7", "source": "rpm", "version": "5.03" } ], "perl-HTTP-Cookies": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Cookies", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Daemon": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Daemon", "release": "8.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Date": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Date", "release": "8.el7", "source": "rpm", "version": "6.02" } ], "perl-HTTP-Message": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Message", "release": "6.el7", "source": "rpm", "version": "6.06" } ], "perl-HTTP-Negotiate": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Negotiate", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Tiny": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Tiny", "release": "3.el7", "source": "rpm", "version": "0.033" } ], "perl-IO-Compress": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Compress", "release": "2.el7", "source": "rpm", "version": "2.061" } ], "perl-IO-HTML": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-HTML", "release": "2.el7", "source": "rpm", "version": "1.00" } ], "perl-IO-Socket-IP": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-IP", "release": "5.el7", "source": "rpm", "version": "0.21" } ], "perl-IO-Socket-SSL": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-SSL", "release": "7.el7", "source": "rpm", "version": "1.94" } ], "perl-IO-Zlib": [ { "arch": "noarch", "epoch": 1, "name": "perl-IO-Zlib", "release": "299.el7_9", "source": "rpm", "version": "1.10" } ], "perl-IO-stringy": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-stringy", "release": "22.el7", "source": "rpm", "version": "2.110" } ], "perl-LWP-MediaTypes": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-MediaTypes", "release": "2.el7", "source": "rpm", "version": "6.02" } ], "perl-LWP-Protocol-https": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-Protocol-https", "release": "4.el7", "source": "rpm", "version": "6.04" } ], "perl-Module-Load": [ { "arch": "noarch", "epoch": 1, "name": "perl-Module-Load", "release": "3.el7", "source": "rpm", "version": "0.24" } ], "perl-Mozilla-CA": [ { "arch": "noarch", "epoch": null, "name": "perl-Mozilla-CA", "release": "5.el7", "source": "rpm", "version": "20130114" } ], "perl-Net-HTTP": [ { "arch": "noarch", "epoch": null, "name": "perl-Net-HTTP", "release": "2.el7", "source": "rpm", "version": "6.06" } ], "perl-Net-LibIDN": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-LibIDN", "release": "15.el7", "source": "rpm", "version": "0.12" } ], "perl-Net-SSLeay": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-SSLeay", "release": "6.el7", "source": "rpm", "version": "1.55" } ], "perl-PathTools": [ { "arch": "x86_64", "epoch": null, "name": "perl-PathTools", "release": "5.el7", "source": "rpm", "version": "3.40" } ], "perl-Pod-Escapes": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Escapes", "release": "299.el7_9", "source": "rpm", "version": "1.04" } ], "perl-Pod-Perldoc": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Perldoc", "release": "4.el7", "source": "rpm", "version": "3.20" } ], "perl-Pod-Simple": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Simple", "release": "4.el7", "source": "rpm", "version": "3.28" } ], "perl-Pod-Usage": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Usage", "release": "3.el7", "source": "rpm", "version": "1.63" } ], "perl-Scalar-List-Utils": [ { "arch": "x86_64", "epoch": null, "name": "perl-Scalar-List-Utils", "release": "248.el7", "source": "rpm", "version": "1.27" } ], "perl-Socket": [ { "arch": "x86_64", "epoch": null, "name": "perl-Socket", "release": "5.el7", "source": "rpm", "version": "2.010" } ], "perl-Storable": [ { "arch": "x86_64", "epoch": null, "name": "perl-Storable", "release": "3.el7", "source": "rpm", "version": "2.45" } ], "perl-TermReadKey": [ { "arch": "x86_64", "epoch": null, "name": "perl-TermReadKey", "release": "20.el7", "source": "rpm", "version": "2.30" } ], "perl-Text-ParseWords": [ { "arch": "noarch", "epoch": null, "name": "perl-Text-ParseWords", "release": "4.el7", "source": "rpm", "version": "3.29" } ], "perl-Time-HiRes": [ { "arch": "x86_64", "epoch": 4, "name": "perl-Time-HiRes", "release": "3.el7", "source": "rpm", "version": "1.9725" } ], "perl-Time-Local": [ { "arch": "noarch", "epoch": null, "name": "perl-Time-Local", "release": "2.el7", "source": "rpm", "version": "1.2300" } ], "perl-TimeDate": [ { "arch": "noarch", "epoch": 1, "name": "perl-TimeDate", "release": "2.el7", "source": "rpm", "version": "2.30" } ], "perl-URI": [ { "arch": "noarch", "epoch": null, "name": "perl-URI", "release": "9.el7", "source": "rpm", "version": "1.60" } ], "perl-WWW-RobotRules": [ { "arch": "noarch", "epoch": null, "name": "perl-WWW-RobotRules", "release": "5.el7", "source": "rpm", "version": "6.02" } ], "perl-XML-LibXML": [ { "arch": "x86_64", "epoch": 1, "name": "perl-XML-LibXML", "release": "5.el7", "source": "rpm", "version": "2.0018" } ], "perl-XML-NamespaceSupport": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-NamespaceSupport", "release": "10.el7", "source": "rpm", "version": "1.11" } ], "perl-XML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-XML-Parser", "release": "10.el7", "source": "rpm", "version": "2.41" } ], "perl-XML-SAX": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX", "release": "9.el7", "source": "rpm", "version": "0.99" } ], "perl-XML-SAX-Base": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX-Base", "release": "7.el7", "source": "rpm", "version": "1.08" } ], "perl-XML-Twig": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-Twig", "release": "2.el7", "source": "rpm", "version": "3.44" } ], "perl-constant": [ { "arch": "noarch", "epoch": null, "name": "perl-constant", "release": "2.el7", "source": "rpm", "version": "1.27" } ], "perl-libs": [ { "arch": "x86_64", "epoch": 4, "name": "perl-libs", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-libwww-perl": [ { "arch": "noarch", "epoch": null, "name": "perl-libwww-perl", "release": "2.el7", "source": "rpm", "version": "6.05" } ], "perl-macros": [ { "arch": "x86_64", "epoch": 4, "name": "perl-macros", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-parent": [ { "arch": "noarch", "epoch": 1, "name": "perl-parent", "release": "244.el7", "source": "rpm", "version": "0.225" } ], "perl-podlators": [ { "arch": "noarch", "epoch": null, "name": "perl-podlators", "release": "3.el7", "source": "rpm", "version": "2.5.1" } ], "perl-threads": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads", "release": "4.el7", "source": "rpm", "version": "1.87" } ], "perl-threads-shared": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads-shared", "release": "6.el7", "source": "rpm", "version": "1.43" } ], "pinentry": [ { "arch": "x86_64", "epoch": null, "name": "pinentry", "release": "17.el7", "source": "rpm", "version": "0.8.1" } ], "pkgconfig": [ { "arch": "x86_64", "epoch": 1, "name": "pkgconfig", "release": "4.el7", "source": "rpm", "version": "0.27.1" } ], "plymouth": [ { "arch": "x86_64", "epoch": null, "name": "plymouth", "release": "0.34.20140113.el7.centos", "source": "rpm", "version": "0.8.9" } ], "plymouth-core-libs": [ { "arch": "x86_64", "epoch": null, "name": "plymouth-core-libs", "release": "0.34.20140113.el7.centos", "source": "rpm", "version": "0.8.9" } ], "plymouth-scripts": [ { "arch": "x86_64", "epoch": null, "name": "plymouth-scripts", "release": "0.34.20140113.el7.centos", "source": "rpm", "version": "0.8.9" } ], "policycoreutils": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "policycoreutils-python": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils-python", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "polkit": [ { "arch": "x86_64", "epoch": null, "name": "polkit", "release": "26.el7_9.1", "source": "rpm", "version": "0.112" } ], "polkit-pkla-compat": [ { "arch": "x86_64", "epoch": null, "name": "polkit-pkla-compat", "release": "4.el7", "source": "rpm", "version": "0.1" } ], "popt": [ { "arch": "x86_64", "epoch": null, "name": "popt", "release": "16.el7", "source": "rpm", "version": "1.13" } ], "postfix": [ { "arch": "x86_64", "epoch": 2, "name": "postfix", "release": "9.el7", "source": "rpm", "version": "2.10.1" } ], "procps-ng": [ { "arch": "x86_64", "epoch": null, "name": "procps-ng", "release": "28.el7", "source": "rpm", "version": "3.3.10" } ], "psmisc": [ { "arch": "x86_64", "epoch": null, "name": "psmisc", "release": "17.el7", "source": "rpm", "version": "22.20" } ], "pth": [ { "arch": "x86_64", "epoch": null, "name": "pth", "release": "23.el7", "source": "rpm", "version": "2.0.7" } ], "pygpgme": [ { "arch": "x86_64", "epoch": null, "name": "pygpgme", "release": "9.el7", "source": "rpm", "version": "0.3" } ], "pyliblzma": [ { "arch": "x86_64", "epoch": null, "name": "pyliblzma", "release": "11.el7", "source": "rpm", "version": "0.5.3" } ], "pyserial": [ { "arch": "noarch", "epoch": null, "name": "pyserial", "release": "6.el7", "source": "rpm", "version": "2.6" } ], "python": [ { "arch": "x86_64", "epoch": null, "name": "python", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-IPy": [ { "arch": "noarch", "epoch": null, "name": "python-IPy", "release": "6.el7", "source": "rpm", "version": "0.75" } ], "python-babel": [ { "arch": "noarch", "epoch": null, "name": "python-babel", "release": "8.el7", "source": "rpm", "version": "0.9.6" } ], "python-backports": [ { "arch": "x86_64", "epoch": null, "name": "python-backports", "release": "8.el7", "source": "rpm", "version": "1.0" } ], "python-backports-ssl_match_hostname": [ { "arch": "noarch", "epoch": null, "name": "python-backports-ssl_match_hostname", "release": "1.el7", "source": "rpm", "version": "3.5.0.1" } ], "python-chardet": [ { "arch": "noarch", "epoch": null, "name": "python-chardet", "release": "3.el7", "source": "rpm", "version": "2.2.1" } ], "python-configobj": [ { "arch": "noarch", "epoch": null, "name": "python-configobj", "release": "7.el7", "source": "rpm", "version": "4.7.2" } ], "python-decorator": [ { "arch": "noarch", "epoch": null, "name": "python-decorator", "release": "3.el7", "source": "rpm", "version": "3.4.0" } ], "python-deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "python-deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "python-enum34": [ { "arch": "noarch", "epoch": null, "name": "python-enum34", "release": "1.el7", "source": "rpm", "version": "1.0.4" } ], "python-firewall": [ { "arch": "noarch", "epoch": null, "name": "python-firewall", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "python-gobject-base": [ { "arch": "x86_64", "epoch": null, "name": "python-gobject-base", "release": "1.el7_4.1", "source": "rpm", "version": "3.22.0" } ], "python-iniparse": [ { "arch": "noarch", "epoch": null, "name": "python-iniparse", "release": "9.el7", "source": "rpm", "version": "0.4" } ], "python-ipaddress": [ { "arch": "noarch", "epoch": null, "name": "python-ipaddress", "release": "2.el7", "source": "rpm", "version": "1.0.16" } ], "python-jinja2": [ { "arch": "noarch", "epoch": null, "name": "python-jinja2", "release": "4.el7", "source": "rpm", "version": "2.7.2" } ], "python-jsonpatch": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpatch", "release": "4.el7", "source": "rpm", "version": "1.2" } ], "python-jsonpointer": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpointer", "release": "2.el7", "source": "rpm", "version": "1.9" } ], "python-kitchen": [ { "arch": "noarch", "epoch": null, "name": "python-kitchen", "release": "5.el7", "source": "rpm", "version": "1.1.1" } ], "python-libs": [ { "arch": "x86_64", "epoch": null, "name": "python-libs", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-linux-procfs": [ { "arch": "noarch", "epoch": null, "name": "python-linux-procfs", "release": "4.el7", "source": "rpm", "version": "0.4.11" } ], "python-lxml": [ { "arch": "x86_64", "epoch": null, "name": "python-lxml", "release": "4.el7", "source": "rpm", "version": "3.2.1" } ], "python-markupsafe": [ { "arch": "x86_64", "epoch": null, "name": "python-markupsafe", "release": "10.el7", "source": "rpm", "version": "0.11" } ], "python-perf": [ { "arch": "x86_64", "epoch": null, "name": "python-perf", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "python-prettytable": [ { "arch": "noarch", "epoch": null, "name": "python-prettytable", "release": "3.el7", "source": "rpm", "version": "0.7.2" } ], "python-pycurl": [ { "arch": "x86_64", "epoch": null, "name": "python-pycurl", "release": "19.el7", "source": "rpm", "version": "7.19.0" } ], "python-pyudev": [ { "arch": "noarch", "epoch": null, "name": "python-pyudev", "release": "9.el7", "source": "rpm", "version": "0.15" } ], "python-requests": [ { "arch": "noarch", "epoch": null, "name": "python-requests", "release": "10.el7", "source": "rpm", "version": "2.6.0" } ], "python-schedutils": [ { "arch": "x86_64", "epoch": null, "name": "python-schedutils", "release": "6.el7", "source": "rpm", "version": "0.4" } ], "python-setuptools": [ { "arch": "noarch", "epoch": null, "name": "python-setuptools", "release": "7.el7", "source": "rpm", "version": "0.9.8" } ], "python-six": [ { "arch": "noarch", "epoch": null, "name": "python-six", "release": "2.el7", "source": "rpm", "version": "1.9.0" } ], "python-slip": [ { "arch": "noarch", "epoch": null, "name": "python-slip", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-slip-dbus": [ { "arch": "noarch", "epoch": null, "name": "python-slip-dbus", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-urlgrabber": [ { "arch": "noarch", "epoch": null, "name": "python-urlgrabber", "release": "10.el7", "source": "rpm", "version": "3.10" } ], "python-urllib3": [ { "arch": "noarch", "epoch": null, "name": "python-urllib3", "release": "7.el7", "source": "rpm", "version": "1.10.2" } ], "python2-dnf": [ { "arch": "noarch", "epoch": null, "name": "python2-dnf", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "python2-hawkey": [ { "arch": "x86_64", "epoch": null, "name": "python2-hawkey", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "python2-libcomps": [ { "arch": "x86_64", "epoch": null, "name": "python2-libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "python2-libdnf": [ { "arch": "x86_64", "epoch": null, "name": "python2-libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "pyxattr": [ { "arch": "x86_64", "epoch": null, "name": "pyxattr", "release": "5.el7", "source": "rpm", "version": "0.5.1" } ], "qa-tools": [ { "arch": "noarch", "epoch": null, "name": "qa-tools", "release": "4.el7_9", "source": "rpm", "version": "4.1" } ], "qemu-guest-agent": [ { "arch": "x86_64", "epoch": 10, "name": "qemu-guest-agent", "release": "3.el7", "source": "rpm", "version": "2.12.0" } ], "qrencode-libs": [ { "arch": "x86_64", "epoch": null, "name": "qrencode-libs", "release": "3.el7", "source": "rpm", "version": "3.4.1" } ], "quota": [ { "arch": "x86_64", "epoch": 1, "name": "quota", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "quota-nls": [ { "arch": "noarch", "epoch": 1, "name": "quota-nls", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "readline": [ { "arch": "x86_64", "epoch": null, "name": "readline", "release": "11.el7", "source": "rpm", "version": "6.2" } ], "restraint": [ { "arch": "x86_64", "epoch": null, "name": "restraint", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "restraint-rhts": [ { "arch": "x86_64", "epoch": null, "name": "restraint-rhts", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "rng-tools": [ { "arch": "x86_64", "epoch": null, "name": "rng-tools", "release": "5.el7", "source": "rpm", "version": "6.3.1" } ], "rootfiles": [ { "arch": "noarch", "epoch": null, "name": "rootfiles", "release": "11.el7", "source": "rpm", "version": "8.1" } ], "rpcbind": [ { "arch": "x86_64", "epoch": null, "name": "rpcbind", "release": "49.el7", "source": "rpm", "version": "0.2.0" } ], "rpm": [ { "arch": "x86_64", "epoch": null, "name": "rpm", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-build-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-build-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-python": [ { "arch": "x86_64", "epoch": null, "name": "rpm-python", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rsync": [ { "arch": "x86_64", "epoch": null, "name": "rsync", "release": "12.el7_9", "source": "rpm", "version": "3.1.2" } ], "rsyslog": [ { "arch": "x86_64", "epoch": null, "name": "rsyslog", "release": "57.el7_9.3", "source": "rpm", "version": "8.24.0" } ], "ruby": [ { "arch": "x86_64", "epoch": null, "name": "ruby", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-irb": [ { "arch": "noarch", "epoch": null, "name": "ruby-irb", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-libs": [ { "arch": "x86_64", "epoch": null, "name": "ruby-libs", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "rubygem-bigdecimal": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-bigdecimal", "release": "39.el7_9", "source": "rpm", "version": "1.2.0" } ], "rubygem-io-console": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-io-console", "release": "39.el7_9", "source": "rpm", "version": "0.4.2" } ], "rubygem-json": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-json", "release": "39.el7_9", "source": "rpm", "version": "1.7.7" } ], "rubygem-psych": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-psych", "release": "39.el7_9", "source": "rpm", "version": "2.0.0" } ], "rubygem-rdoc": [ { "arch": "noarch", "epoch": null, "name": "rubygem-rdoc", "release": "39.el7_9", "source": "rpm", "version": "4.0.0" } ], "rubygems": [ { "arch": "noarch", "epoch": null, "name": "rubygems", "release": "39.el7_9", "source": "rpm", "version": "2.0.14.1" } ], "sed": [ { "arch": "x86_64", "epoch": null, "name": "sed", "release": "7.el7", "source": "rpm", "version": "4.2.2" } ], "selinux-policy": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "selinux-policy-targeted": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy-targeted", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "setools-libs": [ { "arch": "x86_64", "epoch": null, "name": "setools-libs", "release": "4.el7", "source": "rpm", "version": "3.3.8" } ], "setup": [ { "arch": "noarch", "epoch": null, "name": "setup", "release": "11.el7", "source": "rpm", "version": "2.8.71" } ], "sg3_utils": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "sg3_utils-libs": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils-libs", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "shadow-utils": [ { "arch": "x86_64", "epoch": 2, "name": "shadow-utils", "release": "5.el7", "source": "rpm", "version": "4.6" } ], "shared-mime-info": [ { "arch": "x86_64", "epoch": null, "name": "shared-mime-info", "release": "5.el7", "source": "rpm", "version": "1.8" } ], "slang": [ { "arch": "x86_64", "epoch": null, "name": "slang", "release": "11.el7", "source": "rpm", "version": "2.2.4" } ], "snappy": [ { "arch": "x86_64", "epoch": null, "name": "snappy", "release": "3.el7", "source": "rpm", "version": "1.1.0" } ], "sqlite": [ { "arch": "x86_64", "epoch": null, "name": "sqlite", "release": "8.el7_7.1", "source": "rpm", "version": "3.7.17" } ], "strace": [ { "arch": "x86_64", "epoch": null, "name": "strace", "release": "7.el7_9", "source": "rpm", "version": "4.24" } ], "sudo": [ { "arch": "x86_64", "epoch": null, "name": "sudo", "release": "10.el7_9.3", "source": "rpm", "version": "1.8.23" } ], "systemd": [ { "arch": "x86_64", "epoch": null, "name": "systemd", "release": "78.el7_9.9", "source": "rpm", "version": "219" } ], "systemd-libs": [ { "arch": "x86_64", "epoch": null, "name": "systemd-libs", "release": "78.el7_9.9", "source": "rpm", "version": "219" } ], "systemd-sysv": [ { "arch": "x86_64", "epoch": null, "name": "systemd-sysv", "release": "78.el7_9.9", "source": "rpm", "version": "219" } ], "systemtap": [ { "arch": "x86_64", "epoch": null, "name": "systemtap", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-client": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-client", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-devel": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-devel", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-runtime": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-runtime", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "sysvinit-tools": [ { "arch": "x86_64", "epoch": null, "name": "sysvinit-tools", "release": "14.dsf.el7", "source": "rpm", "version": "2.88" } ], "tar": [ { "arch": "x86_64", "epoch": 2, "name": "tar", "release": "35.el7", "source": "rpm", "version": "1.26" } ], "tcp_wrappers": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "tcp_wrappers-libs": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers-libs", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "teamd": [ { "arch": "x86_64", "epoch": null, "name": "teamd", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "time": [ { "arch": "x86_64", "epoch": null, "name": "time", "release": "45.el7", "source": "rpm", "version": "1.7" } ], "tps-devel": [ { "arch": "noarch", "epoch": null, "name": "tps-devel", "release": "1", "source": "rpm", "version": "2.44.50" } ], "tuned": [ { "arch": "noarch", "epoch": null, "name": "tuned", "release": "12.el7_9", "source": "rpm", "version": "2.11.0" } ], "tzdata": [ { "arch": "noarch", "epoch": null, "name": "tzdata", "release": "1.el7", "source": "rpm", "version": "2024a" } ], "unzip": [ { "arch": "x86_64", "epoch": null, "name": "unzip", "release": "24.el7_9", "source": "rpm", "version": "6.0" } ], "ustr": [ { "arch": "x86_64", "epoch": null, "name": "ustr", "release": "16.el7", "source": "rpm", "version": "1.0.4" } ], "util-linux": [ { "arch": "x86_64", "epoch": null, "name": "util-linux", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "vim-common": [ { "arch": "x86_64", "epoch": 2, "name": "vim-common", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-enhanced": [ { "arch": "x86_64", "epoch": 2, "name": "vim-enhanced", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-filesystem": [ { "arch": "x86_64", "epoch": 2, "name": "vim-filesystem", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-minimal": [ { "arch": "x86_64", "epoch": 2, "name": "vim-minimal", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "virt-what": [ { "arch": "x86_64", "epoch": null, "name": "virt-what", "release": "4.el7_9.1", "source": "rpm", "version": "1.18" } ], "wget": [ { "arch": "x86_64", "epoch": null, "name": "wget", "release": "18.el7_6.1", "source": "rpm", "version": "1.14" } ], "which": [ { "arch": "x86_64", "epoch": null, "name": "which", "release": "7.el7", "source": "rpm", "version": "2.20" } ], "wpa_supplicant": [ { "arch": "x86_64", "epoch": 1, "name": "wpa_supplicant", "release": "12.el7_9.2", "source": "rpm", "version": "2.6" } ], "xfsprogs": [ { "arch": "x86_64", "epoch": null, "name": "xfsprogs", "release": "22.el7", "source": "rpm", "version": "4.5.0" } ], "xz": [ { "arch": "x86_64", "epoch": null, "name": "xz", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "xz-libs": [ { "arch": "x86_64", "epoch": null, "name": "xz-libs", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "yum": [ { "arch": "noarch", "epoch": null, "name": "yum", "release": "168.el7.centos", "source": "rpm", "version": "3.4.3" } ], "yum-metadata-parser": [ { "arch": "x86_64", "epoch": null, "name": "yum-metadata-parser", "release": "10.el7", "source": "rpm", "version": "1.1.4" } ], "yum-plugin-fastestmirror": [ { "arch": "noarch", "epoch": null, "name": "yum-plugin-fastestmirror", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "yum-utils": [ { "arch": "noarch", "epoch": null, "name": "yum-utils", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "zip": [ { "arch": "x86_64", "epoch": null, "name": "zip", "release": "11.el7", "source": "rpm", "version": "3.0" } ], "zlib": [ { "arch": "x86_64", "epoch": null, "name": "zlib", "release": "21.el7_9", "source": "rpm", "version": "1.2.7" } ] } }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog version] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:48 Saturday 24 February 2024 08:46:26 +0000 (0:00:01.485) 0:00:04.499 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_version": "8.24.0" }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 1] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:55 Saturday 24 February 2024 08:46:26 +0000 (0:00:00.021) 0:00:04.520 ***** ok: [sut] => {} MSG: Rsyslog_version is 8.24.0, which is older than "8.37.0-7.2". TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 2] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:60 Saturday 24 February 2024 08:46:26 +0000 (0:00:00.020) 0:00:04.541 ***** skipping: [sut] => { "false_condition": "__rsyslog_version is version('8.37.0-7.2', '>')" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set __rsyslog_failed_validation] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:68 Saturday 24 February 2024 08:46:26 +0000 (0:00:00.016) 0:00:04.557 ***** skipping: [sut] => { "changed": false, "false_condition": "rsyslog_in_image | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create the config directory if it does not exist] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:76 Saturday 24 February 2024 08:46:26 +0000 (0:00:00.022) 0:00:04.580 ***** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/etc/rsyslog.d", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create a work directory] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:83 Saturday 24 February 2024 08:46:26 +0000 (0:00:00.150) 0:00:04.730 ***** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0700", "owner": "root", "path": "/var/lib/rsyslog", "secontext": "system_u:object_r:syslogd_var_lib_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create logging directory if it does not exist or the ownership and/or modes are different.] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:89 Saturday 24 February 2024 08:46:26 +0000 (0:00:00.150) 0:00:04.881 ***** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/var/log", "secontext": "system_u:object_r:var_log_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate global rule to add to __rsyslog_common_rules] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:97 Saturday 24 February 2024 08:46:26 +0000 (0:00:00.186) 0:00:05.067 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_common_rules": [ { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate common rsyslog configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:120 Saturday 24 February 2024 08:46:26 +0000 (0:00:00.060) 0:00:05.128 ***** changed: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "state": "file", "uid": 0 } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } changed: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Initialize list of template results] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:144 Saturday 24 February 2024 08:46:27 +0000 (0:00:00.836) 0:00:05.964 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove common config files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:148 Saturday 24 February 2024 08:46:27 +0000 (0:00:00.028) 0:00:05.993 ***** skipping: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include input sub-vars] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:166 Saturday 24 February 2024 08:46:27 +0000 (0:00:00.032) 0:00:06.026 ***** ok: [sut] => (item={'name': 'files_input', 'type': 'files', 'input_log_path': '/var/log/inputdirectory/*.log', 'endmsg_regex': 'xyz'}) => { "ansible_facts": { "__rsyslog_conf_imfile_modules": [ { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" } ], "__rsyslog_files_input_packages": [], "__rsyslog_files_input_rules": [ "{{ __rsyslog_conf_imfile_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml" ], "ansible_loop_var": "input_item", "changed": false, "input_item": { "endmsg_regex": "xyz", "input_log_path": "/var/log/inputdirectory/*.log", "name": "files_input", "type": "files" } } ok: [sut] => (item={'name': 'basic_input', 'type': 'basics', 'ratelimit_burst': 33333}) => { "ansible_facts": { "__rsyslog_basics_packages": [] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml" ], "ansible_loop_var": "input_item", "changed": false, "input_item": { "name": "basic_input", "ratelimit_burst": 33333, "type": "basics" } } ok: [sut] => (item={'name': 'basic_input', 'type': 'basics', 'ratelimit_burst': 44444}) => { "ansible_facts": { "__rsyslog_basics_packages": [] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml" ], "ansible_loop_var": "input_item", "changed": false, "input_item": { "name": "basic_input", "ratelimit_burst": 44444, "type": "basics" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run input sub-tasks] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:177 Saturday 24 February 2024 08:46:27 +0000 (0:00:00.039) 0:00:06.065 ***** skipping: [sut] => (item={'name': 'basic_input', 'type': 'basics', 'ratelimit_burst': 44444}) => { "ansible_loop": { "allitems": [ { "name": "basic_input", "ratelimit_burst": 33333, "type": "basics" }, { "name": "basic_input", "ratelimit_burst": 44444, "type": "basics" }, { "endmsg_regex": "xyz", "input_log_path": "/var/log/inputdirectory/*.log", "name": "files_input", "type": "files" } ], "first": false, "index": 2, "index0": 1, "last": false, "length": 3, "nextitem": { "endmsg_regex": "xyz", "input_log_path": "/var/log/inputdirectory/*.log", "name": "files_input", "type": "files" }, "previtem": { "name": "basic_input", "ratelimit_burst": 33333, "type": "basics" }, "revindex": 2, "revindex0": 1 }, "ansible_loop_var": "input_item", "changed": false, "false_condition": "input_item.type != \"basics\" or (input_item.type == \"basics\" and (ansible_loop.previtem is not defined or (ansible_loop.previtem is defined and ansible_loop.previtem.type != 'basics')))", "input_item": { "name": "basic_input", "ratelimit_burst": 44444, "type": "basics" }, "skip_reason": "Conditional result was False" } included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/basics/main.yml for sut => (item={'name': 'basic_input', 'type': 'basics', 'ratelimit_burst': 33333}) included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/files/main.yml for sut => (item={'name': 'files_input', 'type': 'files', 'input_log_path': '/var/log/inputdirectory/*.log', 'endmsg_regex': 'xyz'}) TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update basics input packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/basics/main.yml:3 Saturday 24 February 2024 08:46:27 +0000 (0:00:00.058) 0:00:06.124 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:46:27 +0000 (0:00:00.036) 0:00:06.160 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:46:27 +0000 (0:00:00.025) 0:00:06.186 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:46:27 +0000 (0:00:00.022) 0:00:06.208 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:46:27 +0000 (0:00:00.030) 0:00:06.239 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create basics input configuration file /etc/rsyslog.d/input-basics-basic_input] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/basics/main.yml:10 Saturday 24 February 2024 08:46:27 +0000 (0:00:00.026) 0:00:06.266 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:46:27 +0000 (0:00:00.044) 0:00:06.310 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:46:28 +0000 (0:00:00.029) 0:00:06.339 ***** changed: [sut] => (item={'name': 'input-basics-basic_input', 'type': 'input', 'state': 'present', 'sections': [{'options': '$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test0\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test1\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call forwards_facility_only\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.1298258-2649-208086738204039/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:46:28 +0000 (0:00:00.470) 0:00:06.810 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.1298258-2649-208086738204039/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.1298258-2649-208086738204039/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:46:28 +0000 (0:00:00.029) 0:00:06.839 ***** skipping: [sut] => (item={'name': 'input-basics-basic_input', 'type': 'input', 'state': 'present', 'sections': [{'options': '$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test0\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test1\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call forwards_facility_only\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update files input packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/files/main.yml:3 Saturday 24 February 2024 08:46:28 +0000 (0:00:00.106) 0:00:06.945 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:46:28 +0000 (0:00:00.060) 0:00:07.006 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:46:28 +0000 (0:00:00.027) 0:00:07.033 ***** changed: [sut] => (item={'name': 'input-files-modules', 'type': 'modules', 'sections': [{'comment': 'Reads log messages from file', 'options': 'module(load="imfile" mode="inotify")'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.744247-2662-181775867267034/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:46:29 +0000 (0:00:00.389) 0:00:07.423 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.1298258-2649-208086738204039/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.1298258-2649-208086738204039/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.744247-2662-181775867267034/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.744247-2662-181775867267034/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:46:29 +0000 (0:00:00.031) 0:00:07.455 ***** skipping: [sut] => (item={'name': 'input-files-modules', 'type': 'modules', 'sections': [{'comment': 'Reads log messages from file', 'options': 'module(load="imfile" mode="inotify")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create files input configuration file /etc/rsyslog.d/input-files-files_input] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/files/main.yml:12 Saturday 24 February 2024 08:46:29 +0000 (0:00:00.028) 0:00:07.483 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:46:29 +0000 (0:00:00.041) 0:00:07.525 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:46:29 +0000 (0:00:00.025) 0:00:07.550 ***** changed: [sut] => (item={'name': 'input-files-files_input', 'type': 'input', 'state': 'present', 'sections': [{'options': 'input(\n type="imfile"\n file="/var/log/inputdirectory/*.log"\n tag="files_input"\n endmsg.regex="xyz"\n)\nif\n ($syslogtag == "files_input")\n then {\n call files_test0\n}\nif\n ($syslogtag == "files_input")\n then {\n call files_test1\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n endmsg.regex=\"xyz\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "md5sum": "3d149d7f4ab9e6c254d224016221af88", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 286, "src": "/root/.ansible/tmp/ansible-tmp-1708764389.3166068-2675-273825676170887/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:46:29 +0000 (0:00:00.467) 0:00:08.018 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.1298258-2649-208086738204039/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.1298258-2649-208086738204039/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.744247-2662-181775867267034/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.744247-2662-181775867267034/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n endmsg.regex=\"xyz\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764389.3166068-2675-273825676170887/source", "unsafe_writes": false, "validate": null } }, "md5sum": "3d149d7f4ab9e6c254d224016221af88", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 286, "src": "/root/.ansible/tmp/ansible-tmp-1708764389.3166068-2675-273825676170887/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:46:29 +0000 (0:00:00.046) 0:00:08.065 ***** skipping: [sut] => (item={'name': 'input-files-files_input', 'type': 'input', 'state': 'present', 'sections': [{'options': 'input(\n type="imfile"\n file="/var/log/inputdirectory/*.log"\n tag="files_input"\n endmsg.regex="xyz"\n)\nif\n ($syslogtag == "files_input")\n then {\n call files_test0\n}\nif\n ($syslogtag == "files_input")\n then {\n call files_test1\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n endmsg.regex=\"xyz\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include output sub-vars] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:192 Saturday 24 February 2024 08:46:29 +0000 (0:00:00.093) 0:00:08.158 ***** ok: [sut] => (item={'name': 'files_test0', 'type': 'files', 'severity': 'info', 'exclude': ['authpriv.none', 'auth.none', 'cron.none', 'mail.none'], 'path': '/var/log/messages'}) => { "ansible_facts": { "__rsyslog_conf_files_output_modules": [ { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_files_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_TraditionalFileFormat\")\n{% elif logging_files_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfile\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_files_output_packages": [], "__rsyslog_files_output_rules": [ "{{ __rsyslog_conf_files_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "exclude": [ "authpriv.none", "auth.none", "cron.none", "mail.none" ], "name": "files_test0", "path": "/var/log/messages", "severity": "info", "type": "files" } } ok: [sut] => (item={'name': 'files_test1', 'type': 'files', 'facility': 'authpriv,auth', 'path': '/var/log/secure'}) => { "ansible_facts": { "__rsyslog_conf_files_output_modules": [ { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_files_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_TraditionalFileFormat\")\n{% elif logging_files_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfile\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_files_output_packages": [], "__rsyslog_files_output_rules": [ "{{ __rsyslog_conf_files_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "facility": "authpriv,auth", "name": "files_test1", "path": "/var/log/secure", "type": "files" } } ok: [sut] => (item={'name': 'forwards_severity_and_facility', 'type': 'forwards', 'facility': 'local1', 'severity': 'info', 'target': 'host.domain', 'tcp_port': 1514}) => { "ansible_facts": { "__rsyslog_conf_forwards_output_modules": [ { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_forwards_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_TraditionalForwardFormat\")\n{% elif logging_forwards_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfwd\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_forwards_output_packages": [], "__rsyslog_forwards_output_rules": [ "{{ __rsyslog_conf_forwards_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "facility": "local1", "name": "forwards_severity_and_facility", "severity": "info", "target": "host.domain", "tcp_port": 1514, "type": "forwards" } } ok: [sut] => (item={'name': 'forwards_facility_only', 'type': 'forwards', 'facility': 'local2', 'target': 'host.domain', 'tcp_port': 2514}) => { "ansible_facts": { "__rsyslog_conf_forwards_output_modules": [ { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_forwards_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_TraditionalForwardFormat\")\n{% elif logging_forwards_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfwd\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_forwards_output_packages": [], "__rsyslog_forwards_output_rules": [ "{{ __rsyslog_conf_forwards_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "facility": "local2", "name": "forwards_facility_only", "target": "host.domain", "tcp_port": 2514, "type": "forwards" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run output sub-tasks] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:204 Saturday 24 February 2024 08:46:29 +0000 (0:00:00.059) 0:00:08.218 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml for sut => (item={'name': 'files_test0', 'type': 'files', 'severity': 'info', 'exclude': ['authpriv.none', 'auth.none', 'cron.none', 'mail.none'], 'path': '/var/log/messages'}) included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml for sut => (item={'name': 'files_test1', 'type': 'files', 'facility': 'authpriv,auth', 'path': '/var/log/secure'}) included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml for sut => (item={'name': 'forwards_severity_and_facility', 'type': 'forwards', 'facility': 'local1', 'severity': 'info', 'target': 'host.domain', 'tcp_port': 1514}) included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml for sut => (item={'name': 'forwards_facility_only', 'type': 'forwards', 'facility': 'local2', 'target': 'host.domain', 'tcp_port': 2514}) TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update files output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:3 Saturday 24 February 2024 08:46:29 +0000 (0:00:00.064) 0:00:08.283 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:46:29 +0000 (0:00:00.045) 0:00:08.328 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:46:30 +0000 (0:00:00.029) 0:00:08.358 ***** changed: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.0738285-2690-274670224193743/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:46:30 +0000 (0:00:00.420) 0:00:08.778 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.1298258-2649-208086738204039/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.1298258-2649-208086738204039/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.744247-2662-181775867267034/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.744247-2662-181775867267034/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n endmsg.regex=\"xyz\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764389.3166068-2675-273825676170887/source", "unsafe_writes": false, "validate": null } }, "md5sum": "3d149d7f4ab9e6c254d224016221af88", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 286, "src": "/root/.ansible/tmp/ansible-tmp-1708764389.3166068-2675-273825676170887/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.0738285-2690-274670224193743/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.0738285-2690-274670224193743/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:46:30 +0000 (0:00:00.066) 0:00:08.845 ***** skipping: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create files output configuration file /etc/rsyslog.d/output-files-files_test0] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:11 Saturday 24 February 2024 08:46:30 +0000 (0:00:00.041) 0:00:08.886 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:46:30 +0000 (0:00:00.042) 0:00:08.929 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:46:30 +0000 (0:00:00.072) 0:00:09.001 ***** changed: [sut] => (item={'name': 'output-files-files_test0', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test0") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.779587-2706-223093648432296/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:46:31 +0000 (0:00:00.457) 0:00:09.458 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.1298258-2649-208086738204039/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.1298258-2649-208086738204039/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.744247-2662-181775867267034/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.744247-2662-181775867267034/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n endmsg.regex=\"xyz\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764389.3166068-2675-273825676170887/source", "unsafe_writes": false, "validate": null } }, "md5sum": "3d149d7f4ab9e6c254d224016221af88", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 286, "src": "/root/.ansible/tmp/ansible-tmp-1708764389.3166068-2675-273825676170887/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.0738285-2690-274670224193743/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.0738285-2690-274670224193743/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.779587-2706-223093648432296/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.779587-2706-223093648432296/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:46:31 +0000 (0:00:00.034) 0:00:09.493 ***** skipping: [sut] => (item={'name': 'output-files-files_test0', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test0") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update files output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:3 Saturday 24 February 2024 08:46:31 +0000 (0:00:00.064) 0:00:09.557 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:46:31 +0000 (0:00:00.036) 0:00:09.594 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:46:31 +0000 (0:00:00.025) 0:00:09.619 ***** ok: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:46:31 +0000 (0:00:00.373) 0:00:09.992 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.1298258-2649-208086738204039/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.1298258-2649-208086738204039/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.744247-2662-181775867267034/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.744247-2662-181775867267034/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n endmsg.regex=\"xyz\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764389.3166068-2675-273825676170887/source", "unsafe_writes": false, "validate": null } }, "md5sum": "3d149d7f4ab9e6c254d224016221af88", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 286, "src": "/root/.ansible/tmp/ansible-tmp-1708764389.3166068-2675-273825676170887/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.0738285-2690-274670224193743/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.0738285-2690-274670224193743/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.779587-2706-223093648432296/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.779587-2706-223093648432296/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:46:31 +0000 (0:00:00.066) 0:00:10.059 ***** skipping: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create files output configuration file /etc/rsyslog.d/output-files-files_test1] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:11 Saturday 24 February 2024 08:46:31 +0000 (0:00:00.033) 0:00:10.092 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:46:31 +0000 (0:00:00.041) 0:00:10.134 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:46:31 +0000 (0:00:00.027) 0:00:10.161 ***** changed: [sut] => (item={'name': 'output-files-files_test1', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test1") {\n authpriv,auth.* /var/log/secure\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1708764391.912651-2735-132376731345014/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:46:32 +0000 (0:00:00.438) 0:00:10.600 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.1298258-2649-208086738204039/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.1298258-2649-208086738204039/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.744247-2662-181775867267034/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.744247-2662-181775867267034/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n endmsg.regex=\"xyz\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764389.3166068-2675-273825676170887/source", "unsafe_writes": false, "validate": null } }, "md5sum": "3d149d7f4ab9e6c254d224016221af88", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 286, "src": "/root/.ansible/tmp/ansible-tmp-1708764389.3166068-2675-273825676170887/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.0738285-2690-274670224193743/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.0738285-2690-274670224193743/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.779587-2706-223093648432296/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.779587-2706-223093648432296/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764391.912651-2735-132376731345014/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1708764391.912651-2735-132376731345014/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:46:32 +0000 (0:00:00.040) 0:00:10.641 ***** skipping: [sut] => (item={'name': 'output-files-files_test1', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test1") {\n authpriv,auth.* /var/log/secure\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update forwards output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:3 Saturday 24 February 2024 08:46:32 +0000 (0:00:00.076) 0:00:10.717 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:46:32 +0000 (0:00:00.070) 0:00:10.788 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:46:32 +0000 (0:00:00.031) 0:00:10.819 ***** changed: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1708764392.5384233-2760-149924293542089/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:46:32 +0000 (0:00:00.408) 0:00:11.228 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.1298258-2649-208086738204039/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.1298258-2649-208086738204039/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.744247-2662-181775867267034/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.744247-2662-181775867267034/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n endmsg.regex=\"xyz\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764389.3166068-2675-273825676170887/source", "unsafe_writes": false, "validate": null } }, "md5sum": "3d149d7f4ab9e6c254d224016221af88", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 286, "src": "/root/.ansible/tmp/ansible-tmp-1708764389.3166068-2675-273825676170887/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.0738285-2690-274670224193743/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.0738285-2690-274670224193743/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.779587-2706-223093648432296/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.779587-2706-223093648432296/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764391.912651-2735-132376731345014/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1708764391.912651-2735-132376731345014/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "content": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764392.5384233-2760-149924293542089/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1708764392.5384233-2760-149924293542089/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:46:32 +0000 (0:00:00.041) 0:00:11.269 ***** skipping: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create forwards output configuration file /etc/rsyslog.d/output-forwards-forwards_severity_and_facility] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:10 Saturday 24 February 2024 08:46:32 +0000 (0:00:00.036) 0:00:11.306 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:46:33 +0000 (0:00:00.090) 0:00:11.397 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:46:33 +0000 (0:00:00.037) 0:00:11.434 ***** changed: [sut] => (item={'name': 'output-forwards-forwards_severity_and_facility', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="forwards_severity_and_facility") {\n local1.info action(name="forwards_severity_and_facility"\n type="omfwd"\n Target="host.domain"\n Port="1514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "md5sum": "68860f71a2d42c2feaf329e9a7e50bfd", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 299, "src": "/root/.ansible/tmp/ansible-tmp-1708764393.2002182-2786-134445391053818/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:46:33 +0000 (0:00:00.454) 0:00:11.889 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.1298258-2649-208086738204039/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.1298258-2649-208086738204039/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.744247-2662-181775867267034/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.744247-2662-181775867267034/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n endmsg.regex=\"xyz\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764389.3166068-2675-273825676170887/source", "unsafe_writes": false, "validate": null } }, "md5sum": "3d149d7f4ab9e6c254d224016221af88", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 286, "src": "/root/.ansible/tmp/ansible-tmp-1708764389.3166068-2675-273825676170887/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.0738285-2690-274670224193743/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.0738285-2690-274670224193743/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.779587-2706-223093648432296/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.779587-2706-223093648432296/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764391.912651-2735-132376731345014/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1708764391.912651-2735-132376731345014/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "content": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764392.5384233-2760-149924293542089/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1708764392.5384233-2760-149924293542089/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "content": null, "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764393.2002182-2786-134445391053818/source", "unsafe_writes": false, "validate": null } }, "md5sum": "68860f71a2d42c2feaf329e9a7e50bfd", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 299, "src": "/root/.ansible/tmp/ansible-tmp-1708764393.2002182-2786-134445391053818/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:46:33 +0000 (0:00:00.040) 0:00:11.930 ***** skipping: [sut] => (item={'name': 'output-forwards-forwards_severity_and_facility', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="forwards_severity_and_facility") {\n local1.info action(name="forwards_severity_and_facility"\n type="omfwd"\n Target="host.domain"\n Port="1514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update forwards output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:3 Saturday 24 February 2024 08:46:33 +0000 (0:00:00.069) 0:00:12.000 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:46:33 +0000 (0:00:00.038) 0:00:12.039 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:46:33 +0000 (0:00:00.027) 0:00:12.066 ***** ok: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:46:34 +0000 (0:00:00.363) 0:00:12.429 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.1298258-2649-208086738204039/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.1298258-2649-208086738204039/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.744247-2662-181775867267034/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.744247-2662-181775867267034/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n endmsg.regex=\"xyz\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764389.3166068-2675-273825676170887/source", "unsafe_writes": false, "validate": null } }, "md5sum": "3d149d7f4ab9e6c254d224016221af88", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 286, "src": "/root/.ansible/tmp/ansible-tmp-1708764389.3166068-2675-273825676170887/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.0738285-2690-274670224193743/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.0738285-2690-274670224193743/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.779587-2706-223093648432296/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.779587-2706-223093648432296/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764391.912651-2735-132376731345014/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1708764391.912651-2735-132376731345014/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "content": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764392.5384233-2760-149924293542089/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1708764392.5384233-2760-149924293542089/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "content": null, "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764393.2002182-2786-134445391053818/source", "unsafe_writes": false, "validate": null } }, "md5sum": "68860f71a2d42c2feaf329e9a7e50bfd", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 299, "src": "/root/.ansible/tmp/ansible-tmp-1708764393.2002182-2786-134445391053818/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:46:34 +0000 (0:00:00.057) 0:00:12.486 ***** skipping: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create forwards output configuration file /etc/rsyslog.d/output-forwards-forwards_facility_only] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:10 Saturday 24 February 2024 08:46:34 +0000 (0:00:00.031) 0:00:12.517 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:46:34 +0000 (0:00:00.040) 0:00:12.558 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:46:34 +0000 (0:00:00.027) 0:00:12.586 ***** changed: [sut] => (item={'name': 'output-forwards-forwards_facility_only', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="forwards_facility_only") {\n local2.* action(name="forwards_facility_only"\n type="omfwd"\n Target="host.domain"\n Port="2514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ac42e1dea4e03f676f11b40c4fdf9eee8625a0ee", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_facility_only", "sections": [ { "options": "ruleset(name=\"forwards_facility_only\") {\n local2.* action(name=\"forwards_facility_only\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"2514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "md5sum": "6323e0bec496fa375d0c070440930f93", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 280, "src": "/root/.ansible/tmp/ansible-tmp-1708764394.3369887-2821-3215624750802/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:46:34 +0000 (0:00:00.452) 0:00:13.038 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764386.8376324-2619-60727646995682/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764387.2842913-2619-31657096319471/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.1298258-2649-208086738204039/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.1298258-2649-208086738204039/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.744247-2662-181775867267034/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764388.744247-2662-181775867267034/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n endmsg.regex=\"xyz\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764389.3166068-2675-273825676170887/source", "unsafe_writes": false, "validate": null } }, "md5sum": "3d149d7f4ab9e6c254d224016221af88", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 286, "src": "/root/.ansible/tmp/ansible-tmp-1708764389.3166068-2675-273825676170887/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.0738285-2690-274670224193743/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.0738285-2690-274670224193743/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.779587-2706-223093648432296/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1708764390.779587-2706-223093648432296/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764391.912651-2735-132376731345014/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1708764391.912651-2735-132376731345014/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "content": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764392.5384233-2760-149924293542089/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1708764392.5384233-2760-149924293542089/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "content": null, "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764393.2002182-2786-134445391053818/source", "unsafe_writes": false, "validate": null } }, "md5sum": "68860f71a2d42c2feaf329e9a7e50bfd", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 299, "src": "/root/.ansible/tmp/ansible-tmp-1708764393.2002182-2786-134445391053818/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ac42e1dea4e03f676f11b40c4fdf9eee8625a0ee", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_facility_only", "sections": [ { "options": "ruleset(name=\"forwards_facility_only\") {\n local2.* action(name=\"forwards_facility_only\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"2514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "ac42e1dea4e03f676f11b40c4fdf9eee8625a0ee", "content": null, "dest": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764394.3369887-2821-3215624750802/source", "unsafe_writes": false, "validate": null } }, "md5sum": "6323e0bec496fa375d0c070440930f93", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 280, "src": "/root/.ansible/tmp/ansible-tmp-1708764394.3369887-2821-3215624750802/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:46:34 +0000 (0:00:00.057) 0:00:13.096 ***** skipping: [sut] => (item={'name': 'output-forwards-forwards_facility_only', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="forwards_facility_only") {\n local2.* action(name="forwards_facility_only"\n type="omfwd"\n Target="host.domain"\n Port="2514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-forwards_facility_only", "sections": [ { "options": "ruleset(name=\"forwards_facility_only\") {\n local2.* action(name=\"forwards_facility_only\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"2514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog config files not owned by any package] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:217 Saturday 24 February 2024 08:46:34 +0000 (0:00:00.080) 0:00:13.176 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Purge - remove files not generated by current state] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:234 Saturday 24 February 2024 08:46:34 +0000 (0:00:00.034) 0:00:13.211 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy custom config files if they are specified in rsyslog_custom_config_files variable array.] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:254 Saturday 24 February 2024 08:46:34 +0000 (0:00:00.042) 0:00:13.253 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check tls is enabled in forwards output or remote input and logging_pki_files] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:275 Saturday 24 February 2024 08:46:34 +0000 (0:00:00.025) 0:00:13.278 ***** skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local ca_cert file to the target if needed] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:283 Saturday 24 February 2024 08:46:34 +0000 (0:00:00.046) 0:00:13.325 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local cert file to the target if needed] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:295 Saturday 24 February 2024 08:46:35 +0000 (0:00:00.081) 0:00:13.406 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local key file to the target if needed] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:307 Saturday 24 February 2024 08:46:35 +0000 (0:00:00.049) 0:00:13.456 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Enable rsyslog service] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:319 Saturday 24 February 2024 08:46:35 +0000 (0:00:00.039) 0:00:13.495 ***** ok: [sut] => { "changed": false, "enabled": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestamp": "Sat 2024-02-24 08:26:49 UTC", "ActiveEnterTimestampMonotonic": "25930355", "ActiveExitTimestampMonotonic": "0", "ActiveState": "active", "After": "basic.target network.target system.slice network-online.target", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "yes", "AssertTimestamp": "Sat 2024-02-24 08:26:49 UTC", "AssertTimestampMonotonic": "25523832", "Before": "multi-user.target shutdown.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "yes", "ConditionTimestamp": "Sat 2024-02-24 08:26:49 UTC", "ConditionTimestampMonotonic": "25523831", "Conflicts": "shutdown.target", "ControlGroup": "/system.slice/rsyslog.service", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "1224", "ExecMainStartTimestamp": "Sat 2024-02-24 08:26:49 UTC", "ExecMainStartTimestampMonotonic": "25525685", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[n/a] ; stop_time=[n/a] ; pid=0 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestampMonotonic": "0", "InactiveExitTimestamp": "Sat 2024-02-24 08:26:49 UTC", "InactiveExitTimestampMonotonic": "25525735", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "1224", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "system.slice basic.target", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "running", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network.target network-online.target", "WatchdogTimestamp": "Sat 2024-02-24 08:26:49 UTC", "WatchdogTimestampMonotonic": "25930083", "WatchdogUSec": "0" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Disable rsyslog service] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:328 Saturday 24 February 2024 08:46:35 +0000 (0:00:00.479) 0:00:13.975 ***** skipping: [sut] => { "changed": false, "false_condition": "not __rsyslog_enabled | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:337 Saturday 24 February 2024 08:46:35 +0000 (0:00:00.025) 0:00:14.001 ***** skipping: [sut] => { "false_condition": "__rsyslog_failed_validation | d(false)" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : See if there are any config files] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:349 Saturday 24 February 2024 08:46:35 +0000 (0:00:00.023) 0:00:14.025 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_is_ostree | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get mode of rsyslog.conf if it exists] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:356 Saturday 24 February 2024 08:46:35 +0000 (0:00:00.033) 0:00:14.058 ***** ok: [sut] => { "changed": false, "stat": { "atime": 1708763209.8348403, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "c9638f934d9bd63f915871a44f22aa1968342884", "ctime": 1708443964.454116, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 144726, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1642101032.0, "nlink": 1, "path": "/etc/rsyslog.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 3232, "uid": 0, "version": "18446744071638595428", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate main rsyslog configuration] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:362 Saturday 24 February 2024 08:46:35 +0000 (0:00:00.159) 0:00:14.217 ***** Notification for handler Restart rsyslogd has been saved. changed: [sut] => { "changed": true, "checksum": "5fe705203b62f654be0460d9893da023dbffb94d", "dest": "/etc/rsyslog.conf", "gid": 0, "group": "root", "md5sum": "06be1b21217661badf921ea6dcceb702", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 131, "src": "/root/.ansible/tmp/ansible-tmp-1708764395.919758-2872-277202203081520/source", "state": "file", "uid": 0 } TASK [Force all notified handlers to run at this point, not waiting for normal sync points] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:77 Saturday 24 February 2024 08:46:36 +0000 (0:00:00.430) 0:00:14.648 ***** NOTIFIED HANDLER fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd for sut META: triggered running handlers for sut RUNNING HANDLER [fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/handlers/main.yml:2 Saturday 24 February 2024 08:46:36 +0000 (0:00:00.016) 0:00:14.664 ***** changed: [sut] => { "changed": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestamp": "Sat 2024-02-24 08:26:49 UTC", "ActiveEnterTimestampMonotonic": "25930355", "ActiveExitTimestampMonotonic": "0", "ActiveState": "active", "After": "basic.target network.target system.slice network-online.target", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "yes", "AssertTimestamp": "Sat 2024-02-24 08:26:49 UTC", "AssertTimestampMonotonic": "25523832", "Before": "multi-user.target shutdown.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "yes", "ConditionTimestamp": "Sat 2024-02-24 08:26:49 UTC", "ConditionTimestampMonotonic": "25523831", "Conflicts": "shutdown.target", "ControlGroup": "/system.slice/rsyslog.service", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "1224", "ExecMainStartTimestamp": "Sat 2024-02-24 08:26:49 UTC", "ExecMainStartTimestampMonotonic": "25525685", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[n/a] ; stop_time=[n/a] ; pid=0 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestampMonotonic": "0", "InactiveExitTimestamp": "Sat 2024-02-24 08:26:49 UTC", "InactiveExitTimestampMonotonic": "25525735", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "1224", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "system.slice basic.target", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "running", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network.target network-online.target", "WatchdogTimestamp": "Sat 2024-02-24 08:26:49 UTC", "WatchdogTimestampMonotonic": "25930083", "WatchdogUSec": "0" } } TASK [Ensure config file size and counts] ************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:80 Saturday 24 February 2024 08:46:36 +0000 (0:00:00.276) 0:00:14.941 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml for sut TASK [Get info of /etc/rsyslog.conf] ******************************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:2 Saturday 24 February 2024 08:46:36 +0000 (0:00:00.037) 0:00:14.978 ***** ok: [sut] => { "changed": false, "stat": { "atime": 1708764396.5562303, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "5fe705203b62f654be0460d9893da023dbffb94d", "ctime": 1708764396.225238, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263363, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1708764396.0942414, "nlink": 1, "path": "/etc/rsyslog.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 131, "uid": 0, "version": "394640055", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [Get file counts in /etc/rsyslog.d] *************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:7 Saturday 24 February 2024 08:46:36 +0000 (0:00:00.149) 0:00:15.127 ***** ok: [sut] => { "changed": false, "examined": 13, "files": [ { "atime": 1708764396.55923, "ctime": 1708764393.5083034, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263361, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764393.3733068, "nlink": 1, "path": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 299, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764393.9112937, "ctime": 1708764392.8493192, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263360, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764392.7113225, "nlink": 1, "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 150, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764396.55923, "ctime": 1708764392.2173345, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263359, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764392.0843377, "nlink": 1, "path": "/etc/rsyslog.d/30-output-files-files_test1.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 115, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764396.55923, "ctime": 1708764394.6562757, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263362, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764394.5132792, "nlink": 1, "path": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 280, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764391.4683523, "ctime": 1708764390.3843784, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263357, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764390.2493815, "nlink": 1, "path": "/etc/rsyslog.d/10-output-files-modules.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 151, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764396.5602303, "ctime": 1708764387.2164545, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 262515, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764387.0184593, "nlink": 1, "path": "/etc/rsyslog.d/00-global.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 137, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764396.5602303, "ctime": 1708764387.5854456, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263353, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764387.4534488, "nlink": 1, "path": "/etc/rsyslog.d/05-common-defaults.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 96, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764396.55923, "ctime": 1708764391.0793617, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263358, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764390.9463649, "nlink": 1, "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 152, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764396.5602303, "ctime": 1708764389.0434105, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263355, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764388.9104137, "nlink": 1, "path": "/etc/rsyslog.d/10-input-files-modules.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 118, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764396.55923, "ctime": 1708764389.616397, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263356, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764389.4814, "nlink": 1, "path": "/etc/rsyslog.d/90-input-files-files_input.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 286, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708763209.8818402, "ctime": 1708444264.081116, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 169877, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1518646683.0, "nlink": 1, "path": "/etc/rsyslog.d/21-cloudinit.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 255, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764396.55923, "ctime": 1708764388.4294252, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263354, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764388.2964284, "nlink": 1, "path": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 871, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } ], "matched": 12, "skipped_paths": {} } MSG: All paths examined TASK [Check rsyslog.conf size (less)] ****************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:13 Saturday 24 February 2024 08:46:37 +0000 (0:00:00.264) 0:00:15.392 ***** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check rsyslog.conf size (more)] ****************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:18 Saturday 24 February 2024 08:46:37 +0000 (0:00:00.027) 0:00:15.419 ***** skipping: [sut] => { "changed": false, "false_condition": "__conf_size == \"more\"", "skip_reason": "Conditional result was False" } TASK [Check file counts in rsyslog.d] ****************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:23 Saturday 24 February 2024 08:46:37 +0000 (0:00:00.015) 0:00:15.435 ***** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check conf files exist] ************************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:27 Saturday 24 February 2024 08:46:37 +0000 (0:00:00.018) 0:00:15.454 ***** ok: [sut] => (item=/etc/rsyslog.d/30-output-files-files_test0.conf) => { "ansible_loop_var": "item", "changed": false, "failed_when_result": false, "item": "/etc/rsyslog.d/30-output-files-files_test0.conf", "stat": { "atime": 1708764396.55923, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "ctime": 1708764391.0793617, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263358, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1708764390.9463649, "nlink": 1, "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 152, "uid": 0, "version": "394639994", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } ok: [sut] => (item=/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf) => { "ansible_loop_var": "item", "changed": false, "failed_when_result": false, "item": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "stat": { "atime": 1708764396.55923, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "ctime": 1708764393.5083034, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263361, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1708764393.3733068, "nlink": 1, "path": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 299, "uid": 0, "version": "394640026", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } ok: [sut] => (item=/etc/rsyslog.d/90-input-files-files_input.conf) => { "ansible_loop_var": "item", "changed": false, "failed_when_result": false, "item": "/etc/rsyslog.d/90-input-files-files_input.conf", "stat": { "atime": 1708764396.55923, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "ctime": 1708764389.616397, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263356, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1708764389.4814, "nlink": 1, "path": "/etc/rsyslog.d/90-input-files-files_input.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 286, "uid": 0, "version": "394639977", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [Check rsyslog errors] **************************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:35 Saturday 24 February 2024 08:46:37 +0000 (0:00:00.459) 0:00:15.913 ***** skipping: [sut] => { "changed": false, "false_condition": "__check_systemctl_status == \"true\"", "skip_reason": "Conditional result was False" } TASK [Check if the files config exists] **************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:91 Saturday 24 February 2024 08:46:37 +0000 (0:00:00.064) 0:00:15.977 ***** ok: [sut] => { "changed": false, "failed_when_result": false, "stat": { "atime": 1708764396.55923, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "ctime": 1708764391.0793617, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263358, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1708764390.9463649, "nlink": 1, "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 152, "uid": 0, "version": "394639994", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [Check the filter and action in the files output config] ****************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:98 Saturday 24 February 2024 08:46:37 +0000 (0:00:00.147) 0:00:16.124 ***** ok: [sut] => { "changed": false, "cmd": [ "/bin/grep", "\\*.info;authpriv.none;auth.none;cron.none;mail.none.*/var/log/messages", "/etc/rsyslog.d/30-output-files-files_test0.conf" ], "delta": "0:00:00.003691", "end": "2024-02-24 08:46:37.961521", "rc": 0, "start": "2024-02-24 08:46:37.957830" } STDOUT: *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages TASK [Ensure logger message is logged in a file] ******************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:106 Saturday 24 February 2024 08:46:37 +0000 (0:00:00.198) 0:00:16.323 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/test_logger.yml for sut TASK [Run logger to generate a test log message] ******************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/test_logger.yml:7 Saturday 24 February 2024 08:46:38 +0000 (0:00:00.031) 0:00:16.354 ***** ok: [sut] => { "changed": false, "cmd": [ "/bin/logger", "-i", "-p", "local6.info", "-t", "testTag0", "testMessage0" ], "delta": "0:00:00.005889", "end": "2024-02-24 08:46:38.149034", "rc": 0, "start": "2024-02-24 08:46:38.143145" } TASK [Check the test log message in /var/log/messages] ************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/test_logger.yml:13 Saturday 24 February 2024 08:46:38 +0000 (0:00:00.155) 0:00:16.510 ***** ok: [sut] => { "attempts": 1, "changed": false, "cmd": [ "/bin/grep", "testMessage0", "/var/log/messages" ], "delta": "0:00:00.005551", "end": "2024-02-24 08:46:38.298086", "rc": 0, "start": "2024-02-24 08:46:38.292535" } STDOUT: 2024-02-24T08:46:38.143389+00:00 4ab8b239-0ac1-444c-b5fa-23f2527fc714 ansible-ansible.legacy.command: Invoked with executable=None _uses_shell=False expand_argument_vars=True strip_empty_ends=True _raw_params=/bin/logger -i -p local6.info -t testTag0 testMessage0 removes=None argv=None creates=None chdir=None stdin_add_newline=True stdin=None 2024-02-24T08:46:38.149014+00:00 4ab8b239-0ac1-444c-b5fa-23f2527fc714 testTag0[12621]: testMessage0 2024-02-24T08:46:38.292729+00:00 4ab8b239-0ac1-444c-b5fa-23f2527fc714 ansible-ansible.legacy.command: Invoked with executable=None _uses_shell=False expand_argument_vars=True strip_empty_ends=True _raw_params=/bin/grep testMessage0 /var/log/messages removes=None argv=None creates=None chdir=None stdin_add_newline=True stdin=None TASK [Generate a file to check severity_and_facility] ************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:112 Saturday 24 February 2024 08:46:38 +0000 (0:00:00.151) 0:00:16.662 ***** changed: [sut] => { "changed": true, "checksum": "e18160e11437f8394d8ed393cda52474627d5062", "dest": "/tmp/__testfile__", "gid": 0, "group": "root", "md5sum": "649f2ba09e9d17fea81dc05c7778a7cd", "mode": "0600", "owner": "root", "secontext": "unconfined_u:object_r:admin_home_t:s0", "size": 296, "src": "/root/.ansible/tmp/ansible-tmp-1708764398.3520498-2960-222859134944901/source", "state": "file", "uid": 0 } TASK [Check severity_and_facility] ********************************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:131 Saturday 24 February 2024 08:46:38 +0000 (0:00:00.355) 0:00:17.017 ***** ok: [sut] => { "changed": false, "cmd": [ "diff", "-B", "/tmp/__testfile__", "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf" ], "delta": "0:00:00.005281", "end": "2024-02-24 08:46:38.796188", "rc": 0, "start": "2024-02-24 08:46:38.790907" } TASK [Check if the input files config exists] ********************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:135 Saturday 24 February 2024 08:46:38 +0000 (0:00:00.137) 0:00:17.154 ***** ok: [sut] => { "changed": false, "failed_when_result": false, "stat": { "atime": 1708764396.55923, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "ed1b8dcd74b1bc78663f783d9f5e2a100dcc0b72", "ctime": 1708764389.616397, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263356, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1708764389.4814, "nlink": 1, "path": "/etc/rsyslog.d/90-input-files-files_input.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 286, "uid": 0, "version": "394639977", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [Check the input call with tag=files_input] ******************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:141 Saturday 24 February 2024 08:46:38 +0000 (0:00:00.144) 0:00:17.299 ***** ok: [sut] => (item=type="imfile") => { "ansible_loop_var": "item", "backup": "", "changed": false, "failed_when_result": false, "item": "type=\"imfile\"" } ok: [sut] => (item=file="/var/log/inputdirectory/*.log") => { "ansible_loop_var": "item", "backup": "", "changed": false, "failed_when_result": false, "item": "file=\"/var/log/inputdirectory/*.log\"" } ok: [sut] => (item=tag="files_input") => { "ansible_loop_var": "item", "backup": "", "changed": false, "failed_when_result": false, "item": "tag=\"files_input\"" } TASK [Create a test log file with a log message in /var/log/inputdirectory] **** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:155 Saturday 24 February 2024 08:46:39 +0000 (0:00:00.496) 0:00:17.795 ***** ok: [sut] => { "changed": false, "cmd": "set -euo pipefail\necho '<167>Mar 20 01:00:00 11.22.33.44 tag msgnum:00000000:26:abcdefghijklmnopqrstuvwxyz' > /var/log/inputdirectory/test.log", "delta": "0:00:00.004336", "end": "2024-02-24 08:46:39.578707", "rc": 0, "start": "2024-02-24 08:46:39.574371" } TASK [Check the fake test log message in /var/log/messages] ******************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:161 Saturday 24 February 2024 08:46:39 +0000 (0:00:00.141) 0:00:17.937 ***** ok: [sut] => { "changed": false, "cmd": [ "/bin/grep", "files_input .*abcdefghijklmnopqrstuvwxyz$", "/var/log/messages" ], "delta": "0:00:00.003788", "end": "2024-02-24 08:46:39.715363", "rc": 0, "start": "2024-02-24 08:46:39.711575" } STDOUT: 2024-02-24T08:46:39.579061+00:00 4ab8b239-0ac1-444c-b5fa-23f2527fc714 files_input <167>Mar 20 01:00:00 11.22.33.44 tag msgnum:00000000:26:abcdefghijklmnopqrstuvwxyz TASK [Create a test log file with a log message in the input which will not be logged due to the regex condition - input /var/log/inputdirectory] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:168 Saturday 24 February 2024 08:46:39 +0000 (0:00:00.155) 0:00:18.092 ***** ok: [sut] => { "changed": false, "cmd": "set -euo pipefail\necho '<167>Jul 22 01:00:00 11.22.33.44 tag msgnum:00000000:24:test message 0123456789' > /var/log/inputdirectory/test.log", "delta": "0:00:00.004064", "end": "2024-02-24 08:46:39.869844", "rc": 0, "start": "2024-02-24 08:46:39.865780" } TASK [Check the fake second test log message is not in /var/log/messages] ****** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:177 Saturday 24 February 2024 08:46:39 +0000 (0:00:00.136) 0:00:18.229 ***** ok: [sut] => { "changed": false, "cmd": [ "/bin/grep", "files_input .*test message 0123456789$", "/var/log/messages" ], "delta": "0:00:01.004970", "end": "2024-02-24 08:46:41.009025", "failed_when_result": false, "rc": 1, "start": "2024-02-24 08:46:40.004055" } MSG: non-zero return code TASK [Check ports managed by firewall and selinux] ***************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:185 Saturday 24 February 2024 08:46:41 +0000 (0:00:01.144) 0:00:19.374 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml for sut TASK [Check ports are not retrieved if both logging_manage_firewall and logging_manage_selinux are not true] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:3 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.037) 0:00:19.411 ***** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check firewall port status (manage - tcp)] ******************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:13 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.027) 0:00:19.438 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check firewall port status (manage - udp)] ******************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:22 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.014) 0:00:19.453 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - tcp)] *************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:34 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.013) 0:00:19.467 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - udp)] *************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:44 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.027) 0:00:19.494 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [END TEST CASE 0; Clean up the deployed config] *************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:188 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.013) 0:00:19.508 ***** TASK [fedora.linux_system_roles.logging : Set files output if files output is not defined and logging_inputs is not empty] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:7 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.077) 0:00:19.585 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_inputs | d([])", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Set rsyslog_outputs] ***************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:14 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.033) 0:00:19.619 ***** ok: [sut] => { "ansible_facts": { "rsyslog_outputs": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set rsyslog_inputs] ****************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:18 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.031) 0:00:19.650 ***** ok: [sut] => { "ansible_facts": { "rsyslog_inputs": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set custom_config_files fact] ******** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:22 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.033) 0:00:19.683 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Check logging_inputs item in logging_flows.inputs] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:34 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.017) 0:00:19.701 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Gather ports specified in the logging_inputs and outputs vars] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:44 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.016) 0:00:19.718 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_tls_tcp_ports] **** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:3 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.047) 0:00:19.766 ***** ok: [sut] => { "ansible_facts": { "logging_tls_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tcp_ports] ******** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:7 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.033) 0:00:19.799 ***** ok: [sut] => { "ansible_facts": { "logging_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tls_udp_ports] **** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:11 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.037) 0:00:19.837 ***** ok: [sut] => { "ansible_facts": { "logging_tls_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_udp_ports] ******** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:15 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.048) 0:00:19.886 ***** ok: [sut] => { "ansible_facts": { "logging_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Parameter 'port' values] ************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:24 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.034) 0:00:19.921 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:88 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.028) 0:00:19.950 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:92 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.030) 0:00:19.980 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:96 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.033) 0:00:20.014 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:100 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.030) 0:00:20.044 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:104 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.028) 0:00:20.073 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:108 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.027) 0:00:20.100 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:153 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.028) 0:00:20.129 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:157 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.026) 0:00:20.156 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:161 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.027) 0:00:20.183 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:165 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.084) 0:00:20.268 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage firewall on the gathered ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:47 Saturday 24 February 2024 08:46:41 +0000 (0:00:00.028) 0:00:20.297 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_firewall_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:7 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.044) 0:00:20.341 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add tcp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:11 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.027) 0:00:20.368 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add udp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:17 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.025) 0:00:20.394 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage firewall for specified ports] ************************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:23 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.028) 0:00:20.423 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage selinux on the gathered ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:50 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.028) 0:00:20.451 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_selinux_ports] **** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:7 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.045) 0:00:20.497 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add non tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:11 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.028) 0:00:20.525 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:16 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.016) 0:00:20.541 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add non tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:21 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.015) 0:00:20.557 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:26 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.017) 0:00:20.575 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage selinux for specified ports] ************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:31 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.014) 0:00:20.590 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Generate certificates] *************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:54 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.029) 0:00:20.620 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml for sut TASK [Generate certificates] *************************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml:2 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.050) 0:00:20.670 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_certificates | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Re-read facts after adding custom fact] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:60 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.032) 0:00:20.703 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug dir] ************ task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:64 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.036) 0:00:20.739 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Delete debug file] ******************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:70 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.032) 0:00:20.772 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug file] *********** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:75 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.061) 0:00:20.834 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Use a debug var to avoid an empty dict in with_dict] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:82 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.033) 0:00:20.867 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Populate rsyslog debug file] ********* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:86 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.031) 0:00:20.898 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [Include Rsyslog role] **************************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:97 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.028) 0:00:20.926 ***** TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:4 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.029) 0:00:20.956 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Ensure ansible_facts used by role] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:4 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.026) 0:00:20.982 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_required_facts | difference(ansible_facts.keys() | list) | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check if system is ostree] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:12 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.031) 0:00:21.013 ***** skipping: [sut] => { "changed": false, "false_condition": "not __logging_is_ostree is defined", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set flag to indicate system is ostree] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:17 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.019) 0:00:21.033 ***** skipping: [sut] => { "changed": false, "false_condition": "not __logging_is_ostree is defined", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:21 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.020) 0:00:21.054 ***** ok: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml", "skip_reason": "Conditional result was False" } ok: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics_rhel7.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include /vars/main.yml from /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:31 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.042) 0:00:21.096 ***** skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Main rsyslog subrole] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:7 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.052) 0:00:21.148 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get status of rsyslog packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:15 Saturday 24 February 2024 08:46:42 +0000 (0:00:00.052) 0:00:21.201 ***** ok: [sut] => (item=iproute) => { "ansible_loop_var": "item", "changed": false, "cmd": [ "rpm", "-V", "iproute" ], "delta": "0:00:00.292629", "end": "2024-02-24 08:46:43.280616", "failed_when_result": false, "item": "iproute", "rc": 0, "start": "2024-02-24 08:46:42.987987" } ok: [sut] => (item=rsyslog) => { "ansible_loop_var": "item", "changed": false, "cmd": [ "rpm", "-V", "rsyslog" ], "delta": "0:00:00.227489", "end": "2024-02-24 08:46:43.632384", "failed_when_result": false, "item": "rsyslog", "rc": 1, "start": "2024-02-24 08:46:43.404895" } STDOUT: S.5....T. c /etc/rsyslog.conf MSG: non-zero return code TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset original confs - logging package is absent] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:22 Saturday 24 February 2024 08:46:43 +0000 (0:00:00.788) 0:00:21.990 ***** changed: [sut] => { "changed": true, "changes": { "removed": [ "iproute", "rsyslog" ] }, "rc": 0, "results": [ "Loaded plugins: fastestmirror\nResolving Dependencies\n--> Running transaction check\n---> Package iproute.x86_64 0:4.11.0-30.el7 will be erased\n--> Processing Dependency: iproute for package: cloud-init-0.7.9-24.el7.x86_64\n--> Processing Dependency: iproute for package: initscripts-9.49.53-1.el7_9.1.x86_64\n--> Processing Dependency: iproute for package: beakerlib-1.29.3-1.el7bkr.noarch\n--> Processing Dependency: iproute for package: 12:dhclient-4.2.5-83.el7.centos.1.x86_64\n--> Processing Dependency: iproute for package: dracut-network-033-572.el7.x86_64\n---> Package rsyslog.x86_64 0:8.24.0-57.el7_9.3 will be erased\n--> Running transaction check\n---> Package beakerlib.noarch 0:1.29.3-1.el7bkr will be erased\n--> Processing Dependency: beakerlib >= 1.16-3 for package: beakerlib-redhat-1-33.el7bkr.noarch\n---> Package cloud-init.x86_64 0:0.7.9-24.el7 will be erased\n---> Package dhclient.x86_64 12:4.2.5-83.el7.centos.1 will be erased\n---> Package dracut-network.x86_64 0:033-572.el7 will be erased\n--> Processing Dependency: dracut-network >= 033-552 for package: kexec-tools-2.0.15-51.el7_9.3.x86_64\n---> Package initscripts.x86_64 0:9.49.53-1.el7_9.1 will be erased\n--> Processing Dependency: initscripts >= 5.86-1 for package: kbd-1.15.5-16.el7_9.x86_64\n--> Processing Dependency: initscripts >= 8.83-1 for package: plymouth-0.8.9-0.34.20140113.el7.centos.x86_64\n--> Running transaction check\n---> Package beakerlib-redhat.noarch 0:1-33.el7bkr will be erased\n---> Package kbd.x86_64 0:1.15.5-16.el7_9 will be erased\n---> Package kexec-tools.x86_64 0:2.0.15-51.el7_9.3 will be erased\n---> Package plymouth.x86_64 0:0.8.9-0.34.20140113.el7.centos will be erased\n--> Processing Dependency: plymouth for package: plymouth-scripts-0.8.9-0.34.20140113.el7.centos.x86_64\n--> Running transaction check\n---> Package plymouth-scripts.x86_64 0:0.8.9-0.34.20140113.el7.centos will be erased\n--> Finished Dependency Resolution\n\nDependencies Resolved\n\n================================================================================\n Package Arch Version Repository Size\n================================================================================\nRemoving:\n iproute x86_64 4.11.0-30.el7 @anaconda 1.8 M\n rsyslog x86_64 8.24.0-57.el7_9.3 @updates 1.9 M\nRemoving for dependencies:\n beakerlib noarch 1.29.3-1.el7bkr @beaker-harness 454 k\n beakerlib-redhat noarch 1-33.el7bkr @beaker-client 22 k\n cloud-init x86_64 0.7.9-24.el7 @/cloud-init.el7 2.1 M\n dhclient x86_64 12:4.2.5-83.el7.centos.1 @updates 475 k\n dracut-network x86_64 033-572.el7 @anaconda 146 k\n initscripts x86_64 9.49.53-1.el7_9.1 @updates 1.5 M\n kbd x86_64 1.15.5-16.el7_9 @updates 1.3 M\n kexec-tools x86_64 2.0.15-51.el7_9.3 @updates 770 k\n plymouth x86_64 0.8.9-0.34.20140113.el7.centos @anaconda 227 k\n plymouth-scripts x86_64 0.8.9-0.34.20140113.el7.centos @anaconda 22 k\n\nTransaction Summary\n================================================================================\nRemove 2 Packages (+10 Dependent packages)\n\nInstalled size: 11 M\nDownloading packages:\nRunning transaction check\nRunning transaction test\nTransaction test succeeded\nRunning transaction\n Erasing : beakerlib-redhat-1-33.el7bkr.noarch 1/12 \n Erasing : beakerlib-1.29.3-1.el7bkr.noarch 2/12 \n Erasing : cloud-init-0.7.9-24.el7.x86_64 3/12 \nwarning: /etc/cloud/cloud.cfg saved as /etc/cloud/cloud.cfg.rpmsave\n Erasing : plymouth-scripts-0.8.9-0.34.20140113.el7.centos.x86_64 4/12 \n Erasing : plymouth-0.8.9-0.34.20140113.el7.centos.x86_64 5/12 \n Erasing : kbd-1.15.5-16.el7_9.x86_64 6/12 \n Erasing : kexec-tools-2.0.15-51.el7_9.3.x86_64 7/12 \n Erasing : dracut-network-033-572.el7.x86_64 8/12 \n Erasing : 12:dhclient-4.2.5-83.el7.centos.1.x86_64 9/12 \n Erasing : initscripts-9.49.53-1.el7_9.1.x86_64 10/12 \nwarning: /etc/adjtime saved as /etc/adjtime.rpmsave\n Erasing : iproute-4.11.0-30.el7.x86_64 11/12 \n Erasing : rsyslog-8.24.0-57.el7_9.3.x86_64 12/12 \nwarning: /etc/rsyslog.conf saved as /etc/rsyslog.conf.rpmsave\n Verifying : kexec-tools-2.0.15-51.el7_9.3.x86_64 1/12 \n Verifying : initscripts-9.49.53-1.el7_9.1.x86_64 2/12 \n Verifying : beakerlib-1.29.3-1.el7bkr.noarch 3/12 \n Verifying : rsyslog-8.24.0-57.el7_9.3.x86_64 4/12 \n Verifying : dracut-network-033-572.el7.x86_64 5/12 \n Verifying : 12:dhclient-4.2.5-83.el7.centos.1.x86_64 6/12 \n Verifying : plymouth-0.8.9-0.34.20140113.el7.centos.x86_64 7/12 \n Verifying : cloud-init-0.7.9-24.el7.x86_64 8/12 \n Verifying : plymouth-scripts-0.8.9-0.34.20140113.el7.centos.x86_64 9/12 \n Verifying : iproute-4.11.0-30.el7.x86_64 10/12 \n Verifying : kbd-1.15.5-16.el7_9.x86_64 11/12 \n Verifying : beakerlib-redhat-1-33.el7bkr.noarch 12/12 \n\nRemoved:\n iproute.x86_64 0:4.11.0-30.el7 rsyslog.x86_64 0:8.24.0-57.el7_9.3 \n\nDependency Removed:\n beakerlib.noarch 0:1.29.3-1.el7bkr \n beakerlib-redhat.noarch 0:1-33.el7bkr \n cloud-init.x86_64 0:0.7.9-24.el7 \n dhclient.x86_64 12:4.2.5-83.el7.centos.1 \n dracut-network.x86_64 0:033-572.el7 \n initscripts.x86_64 0:9.49.53-1.el7_9.1 \n kbd.x86_64 0:1.15.5-16.el7_9 \n kexec-tools.x86_64 0:2.0.15-51.el7_9.3 \n plymouth.x86_64 0:0.8.9-0.34.20140113.el7.centos \n plymouth-scripts.x86_64 0:0.8.9-0.34.20140113.el7.centos \n\nComplete!\n" ] } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:30 Saturday 24 February 2024 08:46:48 +0000 (0:00:04.531) 0:00:26.522 ***** changed: [sut] => { "changed": true, "changes": { "installed": [ "iproute", "rsyslog" ] }, "rc": 0, "results": [ "Loaded plugins: fastestmirror\nLoading mirror speeds from cached hostfile\n * base: download.cf.centos.org\n * extras: download.cf.centos.org\n * updates: download.cf.centos.org\nResolving Dependencies\n--> Running transaction check\n---> Package iproute.x86_64 0:4.11.0-30.el7 will be installed\n---> Package rsyslog.x86_64 0:8.24.0-57.el7_9.3 will be installed\n--> Finished Dependency Resolution\n\nDependencies Resolved\n\n================================================================================\n Package Arch Version Repository Size\n================================================================================\nInstalling:\n iproute x86_64 4.11.0-30.el7 base 805 k\n rsyslog x86_64 8.24.0-57.el7_9.3 updates 622 k\n\nTransaction Summary\n================================================================================\nInstall 2 Packages\n\nTotal download size: 1.4 M\nInstalled size: 3.7 M\nDownloading packages:\n--------------------------------------------------------------------------------\nTotal 4.6 MB/s | 1.4 MB 00:00 \nRunning transaction check\nRunning transaction test\nTransaction test succeeded\nRunning transaction\n Installing : iproute-4.11.0-30.el7.x86_64 1/2 \n Installing : rsyslog-8.24.0-57.el7_9.3.x86_64 2/2 \n Verifying : rsyslog-8.24.0-57.el7_9.3.x86_64 1/2 \n Verifying : iproute-4.11.0-30.el7.x86_64 2/2 \n\nInstalled:\n iproute.x86_64 0:4.11.0-30.el7 rsyslog.x86_64 0:8.24.0-57.el7_9.3 \n\nComplete!\n" ] } lsrpackages: iproute rsyslog TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset erased flag] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:40 Saturday 24 February 2024 08:46:53 +0000 (0:00:05.749) 0:00:32.272 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_erased": false }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 Saturday 24 February 2024 08:46:53 +0000 (0:00:00.017) 0:00:32.290 ***** ok: [sut] => { "ansible_facts": { "packages": { "NetworkManager": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-libnm": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-libnm", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-team": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-team", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-tui": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-tui", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "PyYAML": [ { "arch": "x86_64", "epoch": null, "name": "PyYAML", "release": "11.el7", "source": "rpm", "version": "3.10" } ], "acl": [ { "arch": "x86_64", "epoch": null, "name": "acl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "aic94xx-firmware": [ { "arch": "noarch", "epoch": null, "name": "aic94xx-firmware", "release": "6.el7", "source": "rpm", "version": "30" } ], "alsa-firmware": [ { "arch": "noarch", "epoch": null, "name": "alsa-firmware", "release": "2.el7", "source": "rpm", "version": "1.0.28" } ], "alsa-lib": [ { "arch": "x86_64", "epoch": null, "name": "alsa-lib", "release": "1.el7", "source": "rpm", "version": "1.1.8" } ], "alsa-tools-firmware": [ { "arch": "x86_64", "epoch": null, "name": "alsa-tools-firmware", "release": "1.el7", "source": "rpm", "version": "1.1.0" } ], "aspell": [ { "arch": "x86_64", "epoch": 12, "name": "aspell", "release": "9.el7", "source": "rpm", "version": "0.60.6.1" } ], "audit": [ { "arch": "x86_64", "epoch": null, "name": "audit", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs-python": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs-python", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "authconfig": [ { "arch": "x86_64", "epoch": null, "name": "authconfig", "release": "30.el7", "source": "rpm", "version": "6.2.8" } ], "avahi-libs": [ { "arch": "x86_64", "epoch": null, "name": "avahi-libs", "release": "20.el7", "source": "rpm", "version": "0.6.31" } ], "basesystem": [ { "arch": "noarch", "epoch": null, "name": "basesystem", "release": "7.el7.centos", "source": "rpm", "version": "10.0" } ], "bash": [ { "arch": "x86_64", "epoch": null, "name": "bash", "release": "35.el7_9", "source": "rpm", "version": "4.2.46" } ], "bc": [ { "arch": "x86_64", "epoch": null, "name": "bc", "release": "13.el7", "source": "rpm", "version": "1.06.95" } ], "bind-export-libs": [ { "arch": "x86_64", "epoch": 32, "name": "bind-export-libs", "release": "26.P2.el7_9.15", "source": "rpm", "version": "9.11.4" } ], "binutils": [ { "arch": "x86_64", "epoch": null, "name": "binutils", "release": "44.base.el7_9.1", "source": "rpm", "version": "2.27" } ], "biosdevname": [ { "arch": "x86_64", "epoch": null, "name": "biosdevname", "release": "2.el7", "source": "rpm", "version": "0.7.3" } ], "boost-date-time": [ { "arch": "x86_64", "epoch": null, "name": "boost-date-time", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-system": [ { "arch": "x86_64", "epoch": null, "name": "boost-system", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-thread": [ { "arch": "x86_64", "epoch": null, "name": "boost-thread", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "btrfs-progs": [ { "arch": "x86_64", "epoch": null, "name": "btrfs-progs", "release": "1.el7", "source": "rpm", "version": "4.9.1" } ], "bzip2-libs": [ { "arch": "x86_64", "epoch": null, "name": "bzip2-libs", "release": "13.el7", "source": "rpm", "version": "1.0.6" } ], "ca-certificates": [ { "arch": "noarch", "epoch": null, "name": "ca-certificates", "release": "72.el7_9", "source": "rpm", "version": "2023.2.60_v7.0.306" } ], "centos-logos": [ { "arch": "noarch", "epoch": null, "name": "centos-logos", "release": "3.el7.centos", "source": "rpm", "version": "70.0.6" } ], "centos-release": [ { "arch": "x86_64", "epoch": null, "name": "centos-release", "release": "9.2009.1.el7.centos", "source": "rpm", "version": "7" } ], "checkpolicy": [ { "arch": "x86_64", "epoch": null, "name": "checkpolicy", "release": "8.el7", "source": "rpm", "version": "2.5" } ], "chkconfig": [ { "arch": "x86_64", "epoch": null, "name": "chkconfig", "release": "1.el7", "source": "rpm", "version": "1.7.6" } ], "chrony": [ { "arch": "x86_64", "epoch": null, "name": "chrony", "release": "1.el7", "source": "rpm", "version": "3.4" } ], "cloud-utils-growpart": [ { "arch": "noarch", "epoch": null, "name": "cloud-utils-growpart", "release": "5.el7", "source": "rpm", "version": "0.29" } ], "coreutils": [ { "arch": "x86_64", "epoch": null, "name": "coreutils", "release": "24.el7_9.2", "source": "rpm", "version": "8.22" } ], "cpio": [ { "arch": "x86_64", "epoch": null, "name": "cpio", "release": "28.el7", "source": "rpm", "version": "2.11" } ], "cpp": [ { "arch": "x86_64", "epoch": null, "name": "cpp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "cracklib": [ { "arch": "x86_64", "epoch": null, "name": "cracklib", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "cracklib-dicts": [ { "arch": "x86_64", "epoch": null, "name": "cracklib-dicts", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "createrepo": [ { "arch": "noarch", "epoch": null, "name": "createrepo", "release": "28.el7", "source": "rpm", "version": "0.9.9" } ], "cronie": [ { "arch": "x86_64", "epoch": null, "name": "cronie", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "cronie-anacron": [ { "arch": "x86_64", "epoch": null, "name": "cronie-anacron", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "crontabs": [ { "arch": "noarch", "epoch": null, "name": "crontabs", "release": "6.20121102git.el7", "source": "rpm", "version": "1.11" } ], "cryptsetup-libs": [ { "arch": "x86_64", "epoch": null, "name": "cryptsetup-libs", "release": "6.el7", "source": "rpm", "version": "2.0.3" } ], "curl": [ { "arch": "x86_64", "epoch": null, "name": "curl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "cyrus-sasl-lib": [ { "arch": "x86_64", "epoch": null, "name": "cyrus-sasl-lib", "release": "24.el7_9", "source": "rpm", "version": "2.1.26" } ], "dbus": [ { "arch": "x86_64", "epoch": 1, "name": "dbus", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-glib": [ { "arch": "x86_64", "epoch": null, "name": "dbus-glib", "release": "7.el7", "source": "rpm", "version": "0.100" } ], "dbus-libs": [ { "arch": "x86_64", "epoch": 1, "name": "dbus-libs", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-python": [ { "arch": "x86_64", "epoch": null, "name": "dbus-python", "release": "9.el7", "source": "rpm", "version": "1.1.1" } ], "deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "device-mapper": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "device-mapper-libs": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper-libs", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "dhcp-common": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-common", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "dhcp-libs": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-libs", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "diffutils": [ { "arch": "x86_64", "epoch": null, "name": "diffutils", "release": "6.el7_9", "source": "rpm", "version": "3.3" } ], "dmidecode": [ { "arch": "x86_64", "epoch": 1, "name": "dmidecode", "release": "5.el7_9.1", "source": "rpm", "version": "3.2" } ], "dnf-data": [ { "arch": "noarch", "epoch": null, "name": "dnf-data", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "dracut": [ { "arch": "x86_64", "epoch": null, "name": "dracut", "release": "572.el7", "source": "rpm", "version": "033" } ], "dracut-config-rescue": [ { "arch": "x86_64", "epoch": null, "name": "dracut-config-rescue", "release": "572.el7", "source": "rpm", "version": "033" } ], "dyninst": [ { "arch": "x86_64", "epoch": null, "name": "dyninst", "release": "3.el7", "source": "rpm", "version": "9.3.1" } ], "e2fsprogs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "e2fsprogs-libs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs-libs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "ebtables": [ { "arch": "x86_64", "epoch": null, "name": "ebtables", "release": "16.el7", "source": "rpm", "version": "2.0.10" } ], "efivar-libs": [ { "arch": "x86_64", "epoch": null, "name": "efivar-libs", "release": "12.el7", "source": "rpm", "version": "36" } ], "elfutils-default-yama-scope": [ { "arch": "noarch", "epoch": null, "name": "elfutils-default-yama-scope", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libelf": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libelf", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libs", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "epel-release": [ { "arch": "noarch", "epoch": null, "name": "epel-release", "release": "14", "source": "rpm", "version": "7" } ], "ethtool": [ { "arch": "x86_64", "epoch": 2, "name": "ethtool", "release": "10.el7", "source": "rpm", "version": "4.8" } ], "expat": [ { "arch": "x86_64", "epoch": null, "name": "expat", "release": "15.el7_9", "source": "rpm", "version": "2.1.0" } ], "file": [ { "arch": "x86_64", "epoch": null, "name": "file", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "file-libs": [ { "arch": "x86_64", "epoch": null, "name": "file-libs", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "filesystem": [ { "arch": "x86_64", "epoch": null, "name": "filesystem", "release": "25.el7", "source": "rpm", "version": "3.2" } ], "findutils": [ { "arch": "x86_64", "epoch": 1, "name": "findutils", "release": "6.el7", "source": "rpm", "version": "4.5.11" } ], "fipscheck": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "fipscheck-lib": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck-lib", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "firewalld": [ { "arch": "noarch", "epoch": null, "name": "firewalld", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "firewalld-filesystem": [ { "arch": "noarch", "epoch": null, "name": "firewalld-filesystem", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "freetype": [ { "arch": "x86_64", "epoch": null, "name": "freetype", "release": "14.el7_9.1", "source": "rpm", "version": "2.8" } ], "fxload": [ { "arch": "x86_64", "epoch": null, "name": "fxload", "release": "16.el7", "source": "rpm", "version": "2002_04_11" } ], "gawk": [ { "arch": "x86_64", "epoch": null, "name": "gawk", "release": "4.el7_3.1", "source": "rpm", "version": "4.0.2" } ], "gcc": [ { "arch": "x86_64", "epoch": null, "name": "gcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "gdbm": [ { "arch": "x86_64", "epoch": null, "name": "gdbm", "release": "8.el7", "source": "rpm", "version": "1.10" } ], "gettext": [ { "arch": "x86_64", "epoch": null, "name": "gettext", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "gettext-libs": [ { "arch": "x86_64", "epoch": null, "name": "gettext-libs", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "git": [ { "arch": "x86_64", "epoch": null, "name": "git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "glib2": [ { "arch": "x86_64", "epoch": null, "name": "glib2", "release": "9.el7_9", "source": "rpm", "version": "2.56.1" } ], "glibc": [ { "arch": "x86_64", "epoch": null, "name": "glibc", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-common": [ { "arch": "x86_64", "epoch": null, "name": "glibc-common", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-devel": [ { "arch": "x86_64", "epoch": null, "name": "glibc-devel", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-headers": [ { "arch": "x86_64", "epoch": null, "name": "glibc-headers", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "gmp": [ { "arch": "x86_64", "epoch": 1, "name": "gmp", "release": "15.el7", "source": "rpm", "version": "6.0.0" } ], "gnupg2": [ { "arch": "x86_64", "epoch": null, "name": "gnupg2", "release": "5.el7_5", "source": "rpm", "version": "2.0.22" } ], "gobject-introspection": [ { "arch": "x86_64", "epoch": null, "name": "gobject-introspection", "release": "1.el7", "source": "rpm", "version": "1.56.1" } ], "gpg-pubkey": [ { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "52ae6884", "source": "rpm", "version": "352c64e5" }, { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "53a7ff4b", "source": "rpm", "version": "f4a80eb5" } ], "gpgme": [ { "arch": "x86_64", "epoch": null, "name": "gpgme", "release": "5.el7", "source": "rpm", "version": "1.3.2" } ], "gpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "gpm-libs", "release": "6.el7", "source": "rpm", "version": "1.20.7" } ], "grep": [ { "arch": "x86_64", "epoch": null, "name": "grep", "release": "3.el7", "source": "rpm", "version": "2.20" } ], "groff-base": [ { "arch": "x86_64", "epoch": null, "name": "groff-base", "release": "8.el7", "source": "rpm", "version": "1.22.2" } ], "grub2": [ { "arch": "x86_64", "epoch": 1, "name": "grub2", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-common": [ { "arch": "noarch", "epoch": 1, "name": "grub2-common", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-pc", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc-modules": [ { "arch": "noarch", "epoch": 1, "name": "grub2-pc-modules", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-extra": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-extra", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-minimal": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-minimal", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grubby": [ { "arch": "x86_64", "epoch": null, "name": "grubby", "release": "26.el7", "source": "rpm", "version": "8.28" } ], "gssproxy": [ { "arch": "x86_64", "epoch": null, "name": "gssproxy", "release": "30.el7_9", "source": "rpm", "version": "0.7.0" } ], "gzip": [ { "arch": "x86_64", "epoch": null, "name": "gzip", "release": "11.el7_9", "source": "rpm", "version": "1.5" } ], "hardlink": [ { "arch": "x86_64", "epoch": 1, "name": "hardlink", "release": "19.el7", "source": "rpm", "version": "1.0" } ], "hostname": [ { "arch": "x86_64", "epoch": null, "name": "hostname", "release": "3.el7_7.1", "source": "rpm", "version": "3.13" } ], "hwdata": [ { "arch": "x86_64", "epoch": null, "name": "hwdata", "release": "9.7.el7", "source": "rpm", "version": "0.252" } ], "info": [ { "arch": "x86_64", "epoch": null, "name": "info", "release": "5.el7", "source": "rpm", "version": "5.1" } ], "iproute": [ { "arch": "x86_64", "epoch": null, "name": "iproute", "release": "30.el7", "source": "rpm", "version": "4.11.0" } ], "iprutils": [ { "arch": "x86_64", "epoch": null, "name": "iprutils", "release": "3.el7_7", "source": "rpm", "version": "2.4.17.1" } ], "ipset": [ { "arch": "x86_64", "epoch": null, "name": "ipset", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "ipset-libs": [ { "arch": "x86_64", "epoch": null, "name": "ipset-libs", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "iptables": [ { "arch": "x86_64", "epoch": null, "name": "iptables", "release": "35.el7", "source": "rpm", "version": "1.4.21" } ], "iputils": [ { "arch": "x86_64", "epoch": null, "name": "iputils", "release": "10.el7", "source": "rpm", "version": "20160308" } ], "irqbalance": [ { "arch": "x86_64", "epoch": 3, "name": "irqbalance", "release": "12.el7", "source": "rpm", "version": "1.0.7" } ], "ivtv-firmware": [ { "arch": "noarch", "epoch": 2, "name": "ivtv-firmware", "release": "26.el7", "source": "rpm", "version": "20080701" } ], "iwl100-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl100-firmware", "release": "82.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl1000-firmware": [ { "arch": "noarch", "epoch": 1, "name": "iwl1000-firmware", "release": "82.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl105-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl105-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl135-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl135-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2000-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2030-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2030-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl3160-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3160-firmware", "release": "82.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "iwl3945-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3945-firmware", "release": "82.el7_9", "source": "rpm", "version": "15.32.2.9" } ], "iwl4965-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl4965-firmware", "release": "82.el7_9", "source": "rpm", "version": "228.61.2.24" } ], "iwl5000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5000-firmware", "release": "82.el7_9", "source": "rpm", "version": "8.83.5.1_1" } ], "iwl5150-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5150-firmware", "release": "82.el7_9", "source": "rpm", "version": "8.24.2.2" } ], "iwl6000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000-firmware", "release": "82.el7_9", "source": "rpm", "version": "9.221.4.1" } ], "iwl6000g2a-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2a-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6000g2b-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2b-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6050-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6050-firmware", "release": "82.el7_9", "source": "rpm", "version": "41.28.5.1" } ], "iwl7260-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl7260-firmware", "release": "82.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "jansson": [ { "arch": "x86_64", "epoch": null, "name": "jansson", "release": "1.el7", "source": "rpm", "version": "2.10" } ], "json-c": [ { "arch": "x86_64", "epoch": null, "name": "json-c", "release": "4.el7_0", "source": "rpm", "version": "0.11" } ], "kbd-legacy": [ { "arch": "noarch", "epoch": null, "name": "kbd-legacy", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kbd-misc": [ { "arch": "noarch", "epoch": null, "name": "kbd-misc", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kernel": [ { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.el7", "source": "rpm", "version": "3.10.0" }, { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-debug-devel": [ { "arch": "x86_64", "epoch": null, "name": "kernel-debug-devel", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-headers": [ { "arch": "x86_64", "epoch": null, "name": "kernel-headers", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools-libs": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools-libs", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "keyutils": [ { "arch": "x86_64", "epoch": null, "name": "keyutils", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "keyutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "keyutils-libs", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "kmod": [ { "arch": "x86_64", "epoch": null, "name": "kmod", "release": "28.el7", "source": "rpm", "version": "20" } ], "kmod-libs": [ { "arch": "x86_64", "epoch": null, "name": "kmod-libs", "release": "28.el7", "source": "rpm", "version": "20" } ], "kpartx": [ { "arch": "x86_64", "epoch": null, "name": "kpartx", "release": "136.el7_9", "source": "rpm", "version": "0.4.9" } ], "krb5-libs": [ { "arch": "x86_64", "epoch": null, "name": "krb5-libs", "release": "55.el7_9", "source": "rpm", "version": "1.15.1" } ], "less": [ { "arch": "x86_64", "epoch": null, "name": "less", "release": "9.el7", "source": "rpm", "version": "458" } ], "libacl": [ { "arch": "x86_64", "epoch": null, "name": "libacl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "libassuan": [ { "arch": "x86_64", "epoch": null, "name": "libassuan", "release": "3.el7", "source": "rpm", "version": "2.1.0" } ], "libattr": [ { "arch": "x86_64", "epoch": null, "name": "libattr", "release": "13.el7", "source": "rpm", "version": "2.4.46" } ], "libbasicobjects": [ { "arch": "x86_64", "epoch": null, "name": "libbasicobjects", "release": "32.el7", "source": "rpm", "version": "0.1.1" } ], "libblkid": [ { "arch": "x86_64", "epoch": null, "name": "libblkid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libcap": [ { "arch": "x86_64", "epoch": null, "name": "libcap", "release": "11.el7", "source": "rpm", "version": "2.22" } ], "libcap-ng": [ { "arch": "x86_64", "epoch": null, "name": "libcap-ng", "release": "4.el7", "source": "rpm", "version": "0.7.5" } ], "libcgroup": [ { "arch": "x86_64", "epoch": null, "name": "libcgroup", "release": "21.el7", "source": "rpm", "version": "0.41" } ], "libcollection": [ { "arch": "x86_64", "epoch": null, "name": "libcollection", "release": "32.el7", "source": "rpm", "version": "0.7.0" } ], "libcom_err": [ { "arch": "x86_64", "epoch": null, "name": "libcom_err", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libcomps": [ { "arch": "x86_64", "epoch": null, "name": "libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "libcroco": [ { "arch": "x86_64", "epoch": null, "name": "libcroco", "release": "6.el7_9", "source": "rpm", "version": "0.6.12" } ], "libcurl": [ { "arch": "x86_64", "epoch": null, "name": "libcurl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "libdaemon": [ { "arch": "x86_64", "epoch": null, "name": "libdaemon", "release": "7.el7", "source": "rpm", "version": "0.14" } ], "libdb": [ { "arch": "x86_64", "epoch": null, "name": "libdb", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdb-utils": [ { "arch": "x86_64", "epoch": null, "name": "libdb-utils", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdnf": [ { "arch": "x86_64", "epoch": null, "name": "libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "libdrm": [ { "arch": "x86_64", "epoch": null, "name": "libdrm", "release": "2.el7", "source": "rpm", "version": "2.4.97" } ], "libdwarf": [ { "arch": "x86_64", "epoch": null, "name": "libdwarf", "release": "4.el7", "source": "rpm", "version": "20130207" } ], "libedit": [ { "arch": "x86_64", "epoch": null, "name": "libedit", "release": "12.20121213cvs.el7", "source": "rpm", "version": "3.0" } ], "libestr": [ { "arch": "x86_64", "epoch": null, "name": "libestr", "release": "2.el7", "source": "rpm", "version": "0.1.9" } ], "libevent": [ { "arch": "x86_64", "epoch": null, "name": "libevent", "release": "4.el7", "source": "rpm", "version": "2.0.21" } ], "libfastjson": [ { "arch": "x86_64", "epoch": null, "name": "libfastjson", "release": "3.el7", "source": "rpm", "version": "0.99.4" } ], "libffi": [ { "arch": "x86_64", "epoch": null, "name": "libffi", "release": "19.el7", "source": "rpm", "version": "3.0.13" } ], "libgcc": [ { "arch": "x86_64", "epoch": null, "name": "libgcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgcrypt": [ { "arch": "x86_64", "epoch": null, "name": "libgcrypt", "release": "14.el7", "source": "rpm", "version": "1.5.3" } ], "libgomp": [ { "arch": "x86_64", "epoch": null, "name": "libgomp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgpg-error": [ { "arch": "x86_64", "epoch": null, "name": "libgpg-error", "release": "3.el7", "source": "rpm", "version": "1.12" } ], "libidn": [ { "arch": "x86_64", "epoch": null, "name": "libidn", "release": "4.el7", "source": "rpm", "version": "1.28" } ], "libini_config": [ { "arch": "x86_64", "epoch": null, "name": "libini_config", "release": "32.el7", "source": "rpm", "version": "1.3.1" } ], "libmnl": [ { "arch": "x86_64", "epoch": null, "name": "libmnl", "release": "7.el7", "source": "rpm", "version": "1.0.3" } ], "libmodulemd": [ { "arch": "x86_64", "epoch": null, "name": "libmodulemd", "release": "1.el7", "source": "rpm", "version": "1.6.3" } ], "libmount": [ { "arch": "x86_64", "epoch": null, "name": "libmount", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libmpc": [ { "arch": "x86_64", "epoch": null, "name": "libmpc", "release": "3.el7", "source": "rpm", "version": "1.0.1" } ], "libndp": [ { "arch": "x86_64", "epoch": null, "name": "libndp", "release": "9.el7", "source": "rpm", "version": "1.2" } ], "libnetfilter_conntrack": [ { "arch": "x86_64", "epoch": null, "name": "libnetfilter_conntrack", "release": "1.el7_3", "source": "rpm", "version": "1.0.6" } ], "libnfnetlink": [ { "arch": "x86_64", "epoch": null, "name": "libnfnetlink", "release": "4.el7", "source": "rpm", "version": "1.0.1" } ], "libnfsidmap": [ { "arch": "x86_64", "epoch": null, "name": "libnfsidmap", "release": "19.el7", "source": "rpm", "version": "0.25" } ], "libnl3": [ { "arch": "x86_64", "epoch": null, "name": "libnl3", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libnl3-cli": [ { "arch": "x86_64", "epoch": null, "name": "libnl3-cli", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libpath_utils": [ { "arch": "x86_64", "epoch": null, "name": "libpath_utils", "release": "32.el7", "source": "rpm", "version": "0.2.1" } ], "libpciaccess": [ { "arch": "x86_64", "epoch": null, "name": "libpciaccess", "release": "1.el7", "source": "rpm", "version": "0.14" } ], "libpipeline": [ { "arch": "x86_64", "epoch": null, "name": "libpipeline", "release": "3.el7", "source": "rpm", "version": "1.2.3" } ], "libpng": [ { "arch": "x86_64", "epoch": 2, "name": "libpng", "release": "8.el7", "source": "rpm", "version": "1.5.13" } ], "libpwquality": [ { "arch": "x86_64", "epoch": null, "name": "libpwquality", "release": "5.el7", "source": "rpm", "version": "1.2.3" } ], "libref_array": [ { "arch": "x86_64", "epoch": null, "name": "libref_array", "release": "32.el7", "source": "rpm", "version": "0.1.5" } ], "librepo": [ { "arch": "x86_64", "epoch": null, "name": "librepo", "release": "8.el7_9", "source": "rpm", "version": "1.8.1" } ], "libreport-filesystem": [ { "arch": "x86_64", "epoch": null, "name": "libreport-filesystem", "release": "53.el7.centos", "source": "rpm", "version": "2.1.11" } ], "libseccomp": [ { "arch": "x86_64", "epoch": null, "name": "libseccomp", "release": "4.el7", "source": "rpm", "version": "2.3.1" } ], "libselinux": [ { "arch": "x86_64", "epoch": null, "name": "libselinux", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-python": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-python", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-utils": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-utils", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libsemanage": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsemanage-python": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage-python", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsepol": [ { "arch": "x86_64", "epoch": null, "name": "libsepol", "release": "10.el7", "source": "rpm", "version": "2.5" } ], "libsmartcols": [ { "arch": "x86_64", "epoch": null, "name": "libsmartcols", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libsolv": [ { "arch": "x86_64", "epoch": null, "name": "libsolv", "release": "4.el7", "source": "rpm", "version": "0.6.34" } ], "libss": [ { "arch": "x86_64", "epoch": null, "name": "libss", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libssh2": [ { "arch": "x86_64", "epoch": null, "name": "libssh2", "release": "4.el7_9.1", "source": "rpm", "version": "1.8.0" } ], "libstdc++": [ { "arch": "x86_64", "epoch": null, "name": "libstdc++", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libsysfs": [ { "arch": "x86_64", "epoch": null, "name": "libsysfs", "release": "16.el7", "source": "rpm", "version": "2.1.0" } ], "libtasn1": [ { "arch": "x86_64", "epoch": null, "name": "libtasn1", "release": "1.el7", "source": "rpm", "version": "4.10" } ], "libteam": [ { "arch": "x86_64", "epoch": null, "name": "libteam", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "libtirpc": [ { "arch": "x86_64", "epoch": null, "name": "libtirpc", "release": "0.16.el7", "source": "rpm", "version": "0.2.4" } ], "libunistring": [ { "arch": "x86_64", "epoch": null, "name": "libunistring", "release": "9.el7", "source": "rpm", "version": "0.9.3" } ], "libuser": [ { "arch": "x86_64", "epoch": null, "name": "libuser", "release": "9.el7", "source": "rpm", "version": "0.60" } ], "libutempter": [ { "arch": "x86_64", "epoch": null, "name": "libutempter", "release": "4.el7", "source": "rpm", "version": "1.1.6" } ], "libuuid": [ { "arch": "x86_64", "epoch": null, "name": "libuuid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libverto": [ { "arch": "x86_64", "epoch": null, "name": "libverto", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libverto-libevent": [ { "arch": "x86_64", "epoch": null, "name": "libverto-libevent", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libxml2": [ { "arch": "x86_64", "epoch": null, "name": "libxml2", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxml2-python": [ { "arch": "x86_64", "epoch": null, "name": "libxml2-python", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxslt": [ { "arch": "x86_64", "epoch": null, "name": "libxslt", "release": "6.el7", "source": "rpm", "version": "1.1.28" } ], "libyaml": [ { "arch": "x86_64", "epoch": null, "name": "libyaml", "release": "11.el7_0", "source": "rpm", "version": "0.1.4" } ], "linux-firmware": [ { "arch": "noarch", "epoch": null, "name": "linux-firmware", "release": "82.git78c0348.el7_9", "source": "rpm", "version": "20200421" } ], "logrotate": [ { "arch": "x86_64", "epoch": null, "name": "logrotate", "release": "19.el7", "source": "rpm", "version": "3.8.6" } ], "lshw": [ { "arch": "x86_64", "epoch": null, "name": "lshw", "release": "0.1.20180614git028f6b2.beaker.1.el7bkr.1", "source": "rpm", "version": "B.02.19" } ], "lsscsi": [ { "arch": "x86_64", "epoch": null, "name": "lsscsi", "release": "6.el7", "source": "rpm", "version": "0.27" } ], "lua": [ { "arch": "x86_64", "epoch": null, "name": "lua", "release": "15.el7", "source": "rpm", "version": "5.1.4" } ], "lz4": [ { "arch": "x86_64", "epoch": null, "name": "lz4", "release": "1.el7", "source": "rpm", "version": "1.8.3" } ], "lzo": [ { "arch": "x86_64", "epoch": null, "name": "lzo", "release": "8.el7", "source": "rpm", "version": "2.06" } ], "mailcap": [ { "arch": "noarch", "epoch": null, "name": "mailcap", "release": "2.el7", "source": "rpm", "version": "2.1.41" } ], "make": [ { "arch": "x86_64", "epoch": 1, "name": "make", "release": "24.el7", "source": "rpm", "version": "3.82" } ], "man-db": [ { "arch": "x86_64", "epoch": null, "name": "man-db", "release": "11.el7", "source": "rpm", "version": "2.6.3" } ], "mariadb-libs": [ { "arch": "x86_64", "epoch": 1, "name": "mariadb-libs", "release": "1.el7", "source": "rpm", "version": "5.5.68" } ], "microcode_ctl": [ { "arch": "x86_64", "epoch": 2, "name": "microcode_ctl", "release": "73.20.el7_9", "source": "rpm", "version": "2.1" } ], "mokutil": [ { "arch": "x86_64", "epoch": null, "name": "mokutil", "release": "8.el7", "source": "rpm", "version": "15" } ], "mozjs17": [ { "arch": "x86_64", "epoch": null, "name": "mozjs17", "release": "20.el7", "source": "rpm", "version": "17.0.0" } ], "mpfr": [ { "arch": "x86_64", "epoch": null, "name": "mpfr", "release": "4.el7", "source": "rpm", "version": "3.1.1" } ], "ncurses": [ { "arch": "x86_64", "epoch": null, "name": "ncurses", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-base": [ { "arch": "noarch", "epoch": null, "name": "ncurses-base", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-libs": [ { "arch": "x86_64", "epoch": null, "name": "ncurses-libs", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "net-tools": [ { "arch": "x86_64", "epoch": null, "name": "net-tools", "release": "0.25.20131004git.el7", "source": "rpm", "version": "2.0" } ], "newt": [ { "arch": "x86_64", "epoch": null, "name": "newt", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "newt-python": [ { "arch": "x86_64", "epoch": null, "name": "newt-python", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "nfs-utils": [ { "arch": "x86_64", "epoch": 1, "name": "nfs-utils", "release": "0.68.el7.2", "source": "rpm", "version": "1.3.0" } ], "nspr": [ { "arch": "x86_64", "epoch": null, "name": "nspr", "release": "1.el7_9", "source": "rpm", "version": "4.35.0" } ], "nss": [ { "arch": "x86_64", "epoch": null, "name": "nss", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-pem": [ { "arch": "x86_64", "epoch": null, "name": "nss-pem", "release": "7.el7_9.1", "source": "rpm", "version": "1.0.3" } ], "nss-softokn": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-softokn-freebl": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn-freebl", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-sysinit": [ { "arch": "x86_64", "epoch": null, "name": "nss-sysinit", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-tools": [ { "arch": "x86_64", "epoch": null, "name": "nss-tools", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-util": [ { "arch": "x86_64", "epoch": null, "name": "nss-util", "release": "1.el7_9", "source": "rpm", "version": "3.90.0" } ], "ntpdate": [ { "arch": "x86_64", "epoch": null, "name": "ntpdate", "release": "29.el7.centos.2", "source": "rpm", "version": "4.2.6p5" } ], "numactl-libs": [ { "arch": "x86_64", "epoch": null, "name": "numactl-libs", "release": "5.el7", "source": "rpm", "version": "2.0.12" } ], "openldap": [ { "arch": "x86_64", "epoch": null, "name": "openldap", "release": "25.el7_9", "source": "rpm", "version": "2.4.44" } ], "openssh": [ { "arch": "x86_64", "epoch": null, "name": "openssh", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-clients": [ { "arch": "x86_64", "epoch": null, "name": "openssh-clients", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-server": [ { "arch": "x86_64", "epoch": null, "name": "openssh-server", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssl": [ { "arch": "x86_64", "epoch": 1, "name": "openssl", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "openssl-libs": [ { "arch": "x86_64", "epoch": 1, "name": "openssl-libs", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "os-prober": [ { "arch": "x86_64", "epoch": null, "name": "os-prober", "release": "9.el7", "source": "rpm", "version": "1.58" } ], "p11-kit": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "p11-kit-trust": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit-trust", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "pam": [ { "arch": "x86_64", "epoch": null, "name": "pam", "release": "23.el7", "source": "rpm", "version": "1.1.8" } ], "parted": [ { "arch": "x86_64", "epoch": null, "name": "parted", "release": "32.el7", "source": "rpm", "version": "3.1" } ], "passwd": [ { "arch": "x86_64", "epoch": null, "name": "passwd", "release": "6.el7", "source": "rpm", "version": "0.79" } ], "pciutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "pciutils-libs", "release": "3.el7", "source": "rpm", "version": "3.5.1" } ], "pcre": [ { "arch": "x86_64", "epoch": null, "name": "pcre", "release": "17.el7", "source": "rpm", "version": "8.32" } ], "perl": [ { "arch": "x86_64", "epoch": 4, "name": "perl", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-Business-ISBN": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN", "release": "2.el7", "source": "rpm", "version": "2.06" } ], "perl-Business-ISBN-Data": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN-Data", "release": "2.el7", "source": "rpm", "version": "20120719.001" } ], "perl-CGI": [ { "arch": "noarch", "epoch": null, "name": "perl-CGI", "release": "4.el7", "source": "rpm", "version": "3.63" } ], "perl-Carp": [ { "arch": "noarch", "epoch": null, "name": "perl-Carp", "release": "244.el7", "source": "rpm", "version": "1.26" } ], "perl-Compress-Raw-Bzip2": [ { "arch": "x86_64", "epoch": null, "name": "perl-Compress-Raw-Bzip2", "release": "3.el7", "source": "rpm", "version": "2.061" } ], "perl-Compress-Raw-Zlib": [ { "arch": "x86_64", "epoch": 1, "name": "perl-Compress-Raw-Zlib", "release": "4.el7", "source": "rpm", "version": "2.061" } ], "perl-Data-Dumper": [ { "arch": "x86_64", "epoch": null, "name": "perl-Data-Dumper", "release": "3.el7", "source": "rpm", "version": "2.145" } ], "perl-Date-Manip": [ { "arch": "noarch", "epoch": null, "name": "perl-Date-Manip", "release": "2.el7", "source": "rpm", "version": "6.41" } ], "perl-Digest": [ { "arch": "noarch", "epoch": null, "name": "perl-Digest", "release": "245.el7", "source": "rpm", "version": "1.17" } ], "perl-Digest-MD5": [ { "arch": "x86_64", "epoch": null, "name": "perl-Digest-MD5", "release": "3.el7", "source": "rpm", "version": "2.52" } ], "perl-Encode": [ { "arch": "x86_64", "epoch": null, "name": "perl-Encode", "release": "7.el7", "source": "rpm", "version": "2.51" } ], "perl-Encode-Locale": [ { "arch": "noarch", "epoch": null, "name": "perl-Encode-Locale", "release": "5.el7", "source": "rpm", "version": "1.03" } ], "perl-Error": [ { "arch": "noarch", "epoch": 1, "name": "perl-Error", "release": "2.el7", "source": "rpm", "version": "0.17020" } ], "perl-Exporter": [ { "arch": "noarch", "epoch": null, "name": "perl-Exporter", "release": "3.el7", "source": "rpm", "version": "5.68" } ], "perl-FCGI": [ { "arch": "x86_64", "epoch": 1, "name": "perl-FCGI", "release": "8.el7", "source": "rpm", "version": "0.74" } ], "perl-File-Listing": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Listing", "release": "7.el7", "source": "rpm", "version": "6.04" } ], "perl-File-Path": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Path", "release": "2.el7", "source": "rpm", "version": "2.09" } ], "perl-File-Temp": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Temp", "release": "3.el7", "source": "rpm", "version": "0.23.01" } ], "perl-Filter": [ { "arch": "x86_64", "epoch": null, "name": "perl-Filter", "release": "3.el7", "source": "rpm", "version": "1.49" } ], "perl-Font-AFM": [ { "arch": "noarch", "epoch": null, "name": "perl-Font-AFM", "release": "13.el7", "source": "rpm", "version": "1.20" } ], "perl-Getopt-Long": [ { "arch": "noarch", "epoch": null, "name": "perl-Getopt-Long", "release": "3.el7", "source": "rpm", "version": "2.40" } ], "perl-Git": [ { "arch": "noarch", "epoch": null, "name": "perl-Git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "perl-HTML-Format": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Format", "release": "7.el7", "source": "rpm", "version": "2.10" } ], "perl-HTML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-HTML-Parser", "release": "4.el7", "source": "rpm", "version": "3.71" } ], "perl-HTML-Tagset": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Tagset", "release": "15.el7", "source": "rpm", "version": "3.20" } ], "perl-HTML-Tree": [ { "arch": "noarch", "epoch": 1, "name": "perl-HTML-Tree", "release": "2.el7", "source": "rpm", "version": "5.03" } ], "perl-HTTP-Cookies": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Cookies", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Daemon": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Daemon", "release": "8.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Date": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Date", "release": "8.el7", "source": "rpm", "version": "6.02" } ], "perl-HTTP-Message": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Message", "release": "6.el7", "source": "rpm", "version": "6.06" } ], "perl-HTTP-Negotiate": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Negotiate", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Tiny": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Tiny", "release": "3.el7", "source": "rpm", "version": "0.033" } ], "perl-IO-Compress": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Compress", "release": "2.el7", "source": "rpm", "version": "2.061" } ], "perl-IO-HTML": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-HTML", "release": "2.el7", "source": "rpm", "version": "1.00" } ], "perl-IO-Socket-IP": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-IP", "release": "5.el7", "source": "rpm", "version": "0.21" } ], "perl-IO-Socket-SSL": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-SSL", "release": "7.el7", "source": "rpm", "version": "1.94" } ], "perl-IO-Zlib": [ { "arch": "noarch", "epoch": 1, "name": "perl-IO-Zlib", "release": "299.el7_9", "source": "rpm", "version": "1.10" } ], "perl-IO-stringy": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-stringy", "release": "22.el7", "source": "rpm", "version": "2.110" } ], "perl-LWP-MediaTypes": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-MediaTypes", "release": "2.el7", "source": "rpm", "version": "6.02" } ], "perl-LWP-Protocol-https": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-Protocol-https", "release": "4.el7", "source": "rpm", "version": "6.04" } ], "perl-Module-Load": [ { "arch": "noarch", "epoch": 1, "name": "perl-Module-Load", "release": "3.el7", "source": "rpm", "version": "0.24" } ], "perl-Mozilla-CA": [ { "arch": "noarch", "epoch": null, "name": "perl-Mozilla-CA", "release": "5.el7", "source": "rpm", "version": "20130114" } ], "perl-Net-HTTP": [ { "arch": "noarch", "epoch": null, "name": "perl-Net-HTTP", "release": "2.el7", "source": "rpm", "version": "6.06" } ], "perl-Net-LibIDN": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-LibIDN", "release": "15.el7", "source": "rpm", "version": "0.12" } ], "perl-Net-SSLeay": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-SSLeay", "release": "6.el7", "source": "rpm", "version": "1.55" } ], "perl-PathTools": [ { "arch": "x86_64", "epoch": null, "name": "perl-PathTools", "release": "5.el7", "source": "rpm", "version": "3.40" } ], "perl-Pod-Escapes": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Escapes", "release": "299.el7_9", "source": "rpm", "version": "1.04" } ], "perl-Pod-Perldoc": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Perldoc", "release": "4.el7", "source": "rpm", "version": "3.20" } ], "perl-Pod-Simple": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Simple", "release": "4.el7", "source": "rpm", "version": "3.28" } ], "perl-Pod-Usage": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Usage", "release": "3.el7", "source": "rpm", "version": "1.63" } ], "perl-Scalar-List-Utils": [ { "arch": "x86_64", "epoch": null, "name": "perl-Scalar-List-Utils", "release": "248.el7", "source": "rpm", "version": "1.27" } ], "perl-Socket": [ { "arch": "x86_64", "epoch": null, "name": "perl-Socket", "release": "5.el7", "source": "rpm", "version": "2.010" } ], "perl-Storable": [ { "arch": "x86_64", "epoch": null, "name": "perl-Storable", "release": "3.el7", "source": "rpm", "version": "2.45" } ], "perl-TermReadKey": [ { "arch": "x86_64", "epoch": null, "name": "perl-TermReadKey", "release": "20.el7", "source": "rpm", "version": "2.30" } ], "perl-Text-ParseWords": [ { "arch": "noarch", "epoch": null, "name": "perl-Text-ParseWords", "release": "4.el7", "source": "rpm", "version": "3.29" } ], "perl-Time-HiRes": [ { "arch": "x86_64", "epoch": 4, "name": "perl-Time-HiRes", "release": "3.el7", "source": "rpm", "version": "1.9725" } ], "perl-Time-Local": [ { "arch": "noarch", "epoch": null, "name": "perl-Time-Local", "release": "2.el7", "source": "rpm", "version": "1.2300" } ], "perl-TimeDate": [ { "arch": "noarch", "epoch": 1, "name": "perl-TimeDate", "release": "2.el7", "source": "rpm", "version": "2.30" } ], "perl-URI": [ { "arch": "noarch", "epoch": null, "name": "perl-URI", "release": "9.el7", "source": "rpm", "version": "1.60" } ], "perl-WWW-RobotRules": [ { "arch": "noarch", "epoch": null, "name": "perl-WWW-RobotRules", "release": "5.el7", "source": "rpm", "version": "6.02" } ], "perl-XML-LibXML": [ { "arch": "x86_64", "epoch": 1, "name": "perl-XML-LibXML", "release": "5.el7", "source": "rpm", "version": "2.0018" } ], "perl-XML-NamespaceSupport": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-NamespaceSupport", "release": "10.el7", "source": "rpm", "version": "1.11" } ], "perl-XML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-XML-Parser", "release": "10.el7", "source": "rpm", "version": "2.41" } ], "perl-XML-SAX": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX", "release": "9.el7", "source": "rpm", "version": "0.99" } ], "perl-XML-SAX-Base": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX-Base", "release": "7.el7", "source": "rpm", "version": "1.08" } ], "perl-XML-Twig": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-Twig", "release": "2.el7", "source": "rpm", "version": "3.44" } ], "perl-constant": [ { "arch": "noarch", "epoch": null, "name": "perl-constant", "release": "2.el7", "source": "rpm", "version": "1.27" } ], "perl-libs": [ { "arch": "x86_64", "epoch": 4, "name": "perl-libs", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-libwww-perl": [ { "arch": "noarch", "epoch": null, "name": "perl-libwww-perl", "release": "2.el7", "source": "rpm", "version": "6.05" } ], "perl-macros": [ { "arch": "x86_64", "epoch": 4, "name": "perl-macros", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-parent": [ { "arch": "noarch", "epoch": 1, "name": "perl-parent", "release": "244.el7", "source": "rpm", "version": "0.225" } ], "perl-podlators": [ { "arch": "noarch", "epoch": null, "name": "perl-podlators", "release": "3.el7", "source": "rpm", "version": "2.5.1" } ], "perl-threads": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads", "release": "4.el7", "source": "rpm", "version": "1.87" } ], "perl-threads-shared": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads-shared", "release": "6.el7", "source": "rpm", "version": "1.43" } ], "pinentry": [ { "arch": "x86_64", "epoch": null, "name": "pinentry", "release": "17.el7", "source": "rpm", "version": "0.8.1" } ], "pkgconfig": [ { "arch": "x86_64", "epoch": 1, "name": "pkgconfig", "release": "4.el7", "source": "rpm", "version": "0.27.1" } ], "plymouth-core-libs": [ { "arch": "x86_64", "epoch": null, "name": "plymouth-core-libs", "release": "0.34.20140113.el7.centos", "source": "rpm", "version": "0.8.9" } ], "policycoreutils": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "policycoreutils-python": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils-python", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "polkit": [ { "arch": "x86_64", "epoch": null, "name": "polkit", "release": "26.el7_9.1", "source": "rpm", "version": "0.112" } ], "polkit-pkla-compat": [ { "arch": "x86_64", "epoch": null, "name": "polkit-pkla-compat", "release": "4.el7", "source": "rpm", "version": "0.1" } ], "popt": [ { "arch": "x86_64", "epoch": null, "name": "popt", "release": "16.el7", "source": "rpm", "version": "1.13" } ], "postfix": [ { "arch": "x86_64", "epoch": 2, "name": "postfix", "release": "9.el7", "source": "rpm", "version": "2.10.1" } ], "procps-ng": [ { "arch": "x86_64", "epoch": null, "name": "procps-ng", "release": "28.el7", "source": "rpm", "version": "3.3.10" } ], "psmisc": [ { "arch": "x86_64", "epoch": null, "name": "psmisc", "release": "17.el7", "source": "rpm", "version": "22.20" } ], "pth": [ { "arch": "x86_64", "epoch": null, "name": "pth", "release": "23.el7", "source": "rpm", "version": "2.0.7" } ], "pygpgme": [ { "arch": "x86_64", "epoch": null, "name": "pygpgme", "release": "9.el7", "source": "rpm", "version": "0.3" } ], "pyliblzma": [ { "arch": "x86_64", "epoch": null, "name": "pyliblzma", "release": "11.el7", "source": "rpm", "version": "0.5.3" } ], "pyserial": [ { "arch": "noarch", "epoch": null, "name": "pyserial", "release": "6.el7", "source": "rpm", "version": "2.6" } ], "python": [ { "arch": "x86_64", "epoch": null, "name": "python", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-IPy": [ { "arch": "noarch", "epoch": null, "name": "python-IPy", "release": "6.el7", "source": "rpm", "version": "0.75" } ], "python-babel": [ { "arch": "noarch", "epoch": null, "name": "python-babel", "release": "8.el7", "source": "rpm", "version": "0.9.6" } ], "python-backports": [ { "arch": "x86_64", "epoch": null, "name": "python-backports", "release": "8.el7", "source": "rpm", "version": "1.0" } ], "python-backports-ssl_match_hostname": [ { "arch": "noarch", "epoch": null, "name": "python-backports-ssl_match_hostname", "release": "1.el7", "source": "rpm", "version": "3.5.0.1" } ], "python-chardet": [ { "arch": "noarch", "epoch": null, "name": "python-chardet", "release": "3.el7", "source": "rpm", "version": "2.2.1" } ], "python-configobj": [ { "arch": "noarch", "epoch": null, "name": "python-configobj", "release": "7.el7", "source": "rpm", "version": "4.7.2" } ], "python-decorator": [ { "arch": "noarch", "epoch": null, "name": "python-decorator", "release": "3.el7", "source": "rpm", "version": "3.4.0" } ], "python-deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "python-deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "python-enum34": [ { "arch": "noarch", "epoch": null, "name": "python-enum34", "release": "1.el7", "source": "rpm", "version": "1.0.4" } ], "python-firewall": [ { "arch": "noarch", "epoch": null, "name": "python-firewall", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "python-gobject-base": [ { "arch": "x86_64", "epoch": null, "name": "python-gobject-base", "release": "1.el7_4.1", "source": "rpm", "version": "3.22.0" } ], "python-iniparse": [ { "arch": "noarch", "epoch": null, "name": "python-iniparse", "release": "9.el7", "source": "rpm", "version": "0.4" } ], "python-ipaddress": [ { "arch": "noarch", "epoch": null, "name": "python-ipaddress", "release": "2.el7", "source": "rpm", "version": "1.0.16" } ], "python-jinja2": [ { "arch": "noarch", "epoch": null, "name": "python-jinja2", "release": "4.el7", "source": "rpm", "version": "2.7.2" } ], "python-jsonpatch": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpatch", "release": "4.el7", "source": "rpm", "version": "1.2" } ], "python-jsonpointer": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpointer", "release": "2.el7", "source": "rpm", "version": "1.9" } ], "python-kitchen": [ { "arch": "noarch", "epoch": null, "name": "python-kitchen", "release": "5.el7", "source": "rpm", "version": "1.1.1" } ], "python-libs": [ { "arch": "x86_64", "epoch": null, "name": "python-libs", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-linux-procfs": [ { "arch": "noarch", "epoch": null, "name": "python-linux-procfs", "release": "4.el7", "source": "rpm", "version": "0.4.11" } ], "python-lxml": [ { "arch": "x86_64", "epoch": null, "name": "python-lxml", "release": "4.el7", "source": "rpm", "version": "3.2.1" } ], "python-markupsafe": [ { "arch": "x86_64", "epoch": null, "name": "python-markupsafe", "release": "10.el7", "source": "rpm", "version": "0.11" } ], "python-perf": [ { "arch": "x86_64", "epoch": null, "name": "python-perf", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "python-prettytable": [ { "arch": "noarch", "epoch": null, "name": "python-prettytable", "release": "3.el7", "source": "rpm", "version": "0.7.2" } ], "python-pycurl": [ { "arch": "x86_64", "epoch": null, "name": "python-pycurl", "release": "19.el7", "source": "rpm", "version": "7.19.0" } ], "python-pyudev": [ { "arch": "noarch", "epoch": null, "name": "python-pyudev", "release": "9.el7", "source": "rpm", "version": "0.15" } ], "python-requests": [ { "arch": "noarch", "epoch": null, "name": "python-requests", "release": "10.el7", "source": "rpm", "version": "2.6.0" } ], "python-schedutils": [ { "arch": "x86_64", "epoch": null, "name": "python-schedutils", "release": "6.el7", "source": "rpm", "version": "0.4" } ], "python-setuptools": [ { "arch": "noarch", "epoch": null, "name": "python-setuptools", "release": "7.el7", "source": "rpm", "version": "0.9.8" } ], "python-six": [ { "arch": "noarch", "epoch": null, "name": "python-six", "release": "2.el7", "source": "rpm", "version": "1.9.0" } ], "python-slip": [ { "arch": "noarch", "epoch": null, "name": "python-slip", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-slip-dbus": [ { "arch": "noarch", "epoch": null, "name": "python-slip-dbus", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-urlgrabber": [ { "arch": "noarch", "epoch": null, "name": "python-urlgrabber", "release": "10.el7", "source": "rpm", "version": "3.10" } ], "python-urllib3": [ { "arch": "noarch", "epoch": null, "name": "python-urllib3", "release": "7.el7", "source": "rpm", "version": "1.10.2" } ], "python2-dnf": [ { "arch": "noarch", "epoch": null, "name": "python2-dnf", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "python2-hawkey": [ { "arch": "x86_64", "epoch": null, "name": "python2-hawkey", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "python2-libcomps": [ { "arch": "x86_64", "epoch": null, "name": "python2-libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "python2-libdnf": [ { "arch": "x86_64", "epoch": null, "name": "python2-libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "pyxattr": [ { "arch": "x86_64", "epoch": null, "name": "pyxattr", "release": "5.el7", "source": "rpm", "version": "0.5.1" } ], "qa-tools": [ { "arch": "noarch", "epoch": null, "name": "qa-tools", "release": "4.el7_9", "source": "rpm", "version": "4.1" } ], "qemu-guest-agent": [ { "arch": "x86_64", "epoch": 10, "name": "qemu-guest-agent", "release": "3.el7", "source": "rpm", "version": "2.12.0" } ], "qrencode-libs": [ { "arch": "x86_64", "epoch": null, "name": "qrencode-libs", "release": "3.el7", "source": "rpm", "version": "3.4.1" } ], "quota": [ { "arch": "x86_64", "epoch": 1, "name": "quota", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "quota-nls": [ { "arch": "noarch", "epoch": 1, "name": "quota-nls", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "readline": [ { "arch": "x86_64", "epoch": null, "name": "readline", "release": "11.el7", "source": "rpm", "version": "6.2" } ], "restraint": [ { "arch": "x86_64", "epoch": null, "name": "restraint", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "restraint-rhts": [ { "arch": "x86_64", "epoch": null, "name": "restraint-rhts", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "rng-tools": [ { "arch": "x86_64", "epoch": null, "name": "rng-tools", "release": "5.el7", "source": "rpm", "version": "6.3.1" } ], "rootfiles": [ { "arch": "noarch", "epoch": null, "name": "rootfiles", "release": "11.el7", "source": "rpm", "version": "8.1" } ], "rpcbind": [ { "arch": "x86_64", "epoch": null, "name": "rpcbind", "release": "49.el7", "source": "rpm", "version": "0.2.0" } ], "rpm": [ { "arch": "x86_64", "epoch": null, "name": "rpm", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-build-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-build-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-python": [ { "arch": "x86_64", "epoch": null, "name": "rpm-python", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rsync": [ { "arch": "x86_64", "epoch": null, "name": "rsync", "release": "12.el7_9", "source": "rpm", "version": "3.1.2" } ], "rsyslog": [ { "arch": "x86_64", "epoch": null, "name": "rsyslog", "release": "57.el7_9.3", "source": "rpm", "version": "8.24.0" } ], "ruby": [ { "arch": "x86_64", "epoch": null, "name": "ruby", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-irb": [ { "arch": "noarch", "epoch": null, "name": "ruby-irb", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-libs": [ { "arch": "x86_64", "epoch": null, "name": "ruby-libs", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "rubygem-bigdecimal": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-bigdecimal", "release": "39.el7_9", "source": "rpm", "version": "1.2.0" } ], "rubygem-io-console": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-io-console", "release": "39.el7_9", "source": "rpm", "version": "0.4.2" } ], "rubygem-json": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-json", "release": "39.el7_9", "source": "rpm", "version": "1.7.7" } ], "rubygem-psych": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-psych", "release": "39.el7_9", "source": "rpm", "version": "2.0.0" } ], "rubygem-rdoc": [ { "arch": "noarch", "epoch": null, "name": "rubygem-rdoc", "release": "39.el7_9", "source": "rpm", "version": "4.0.0" } ], "rubygems": [ { "arch": "noarch", "epoch": null, "name": "rubygems", "release": "39.el7_9", "source": "rpm", "version": "2.0.14.1" } ], "sed": [ { "arch": "x86_64", "epoch": null, "name": "sed", "release": "7.el7", "source": "rpm", "version": "4.2.2" } ], "selinux-policy": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "selinux-policy-targeted": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy-targeted", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "setools-libs": [ { "arch": "x86_64", "epoch": null, "name": "setools-libs", "release": "4.el7", "source": "rpm", "version": "3.3.8" } ], "setup": [ { "arch": "noarch", "epoch": null, "name": "setup", "release": "11.el7", "source": "rpm", "version": "2.8.71" } ], "sg3_utils": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "sg3_utils-libs": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils-libs", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "shadow-utils": [ { "arch": "x86_64", "epoch": 2, "name": "shadow-utils", "release": "5.el7", "source": "rpm", "version": "4.6" } ], "shared-mime-info": [ { "arch": "x86_64", "epoch": null, "name": "shared-mime-info", "release": "5.el7", "source": "rpm", "version": "1.8" } ], "slang": [ { "arch": "x86_64", "epoch": null, "name": "slang", "release": "11.el7", "source": "rpm", "version": "2.2.4" } ], "snappy": [ { "arch": "x86_64", "epoch": null, "name": "snappy", "release": "3.el7", "source": "rpm", "version": "1.1.0" } ], "sqlite": [ { "arch": "x86_64", "epoch": null, "name": "sqlite", "release": "8.el7_7.1", "source": "rpm", "version": "3.7.17" } ], "strace": [ { "arch": "x86_64", "epoch": null, "name": "strace", "release": "7.el7_9", "source": "rpm", "version": "4.24" } ], "sudo": [ { "arch": "x86_64", "epoch": null, "name": "sudo", "release": "10.el7_9.3", "source": "rpm", "version": "1.8.23" } ], "systemd": [ { "arch": "x86_64", "epoch": null, "name": "systemd", "release": "78.el7_9.9", "source": "rpm", "version": "219" } ], "systemd-libs": [ { "arch": "x86_64", "epoch": null, "name": "systemd-libs", "release": "78.el7_9.9", "source": "rpm", "version": "219" } ], "systemd-sysv": [ { "arch": "x86_64", "epoch": null, "name": "systemd-sysv", "release": "78.el7_9.9", "source": "rpm", "version": "219" } ], "systemtap": [ { "arch": "x86_64", "epoch": null, "name": "systemtap", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-client": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-client", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-devel": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-devel", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-runtime": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-runtime", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "sysvinit-tools": [ { "arch": "x86_64", "epoch": null, "name": "sysvinit-tools", "release": "14.dsf.el7", "source": "rpm", "version": "2.88" } ], "tar": [ { "arch": "x86_64", "epoch": 2, "name": "tar", "release": "35.el7", "source": "rpm", "version": "1.26" } ], "tcp_wrappers": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "tcp_wrappers-libs": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers-libs", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "teamd": [ { "arch": "x86_64", "epoch": null, "name": "teamd", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "time": [ { "arch": "x86_64", "epoch": null, "name": "time", "release": "45.el7", "source": "rpm", "version": "1.7" } ], "tps-devel": [ { "arch": "noarch", "epoch": null, "name": "tps-devel", "release": "1", "source": "rpm", "version": "2.44.50" } ], "tuned": [ { "arch": "noarch", "epoch": null, "name": "tuned", "release": "12.el7_9", "source": "rpm", "version": "2.11.0" } ], "tzdata": [ { "arch": "noarch", "epoch": null, "name": "tzdata", "release": "1.el7", "source": "rpm", "version": "2024a" } ], "unzip": [ { "arch": "x86_64", "epoch": null, "name": "unzip", "release": "24.el7_9", "source": "rpm", "version": "6.0" } ], "ustr": [ { "arch": "x86_64", "epoch": null, "name": "ustr", "release": "16.el7", "source": "rpm", "version": "1.0.4" } ], "util-linux": [ { "arch": "x86_64", "epoch": null, "name": "util-linux", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "vim-common": [ { "arch": "x86_64", "epoch": 2, "name": "vim-common", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-enhanced": [ { "arch": "x86_64", "epoch": 2, "name": "vim-enhanced", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-filesystem": [ { "arch": "x86_64", "epoch": 2, "name": "vim-filesystem", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-minimal": [ { "arch": "x86_64", "epoch": 2, "name": "vim-minimal", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "virt-what": [ { "arch": "x86_64", "epoch": null, "name": "virt-what", "release": "4.el7_9.1", "source": "rpm", "version": "1.18" } ], "wget": [ { "arch": "x86_64", "epoch": null, "name": "wget", "release": "18.el7_6.1", "source": "rpm", "version": "1.14" } ], "which": [ { "arch": "x86_64", "epoch": null, "name": "which", "release": "7.el7", "source": "rpm", "version": "2.20" } ], "wpa_supplicant": [ { "arch": "x86_64", "epoch": 1, "name": "wpa_supplicant", "release": "12.el7_9.2", "source": "rpm", "version": "2.6" } ], "xfsprogs": [ { "arch": "x86_64", "epoch": null, "name": "xfsprogs", "release": "22.el7", "source": "rpm", "version": "4.5.0" } ], "xz": [ { "arch": "x86_64", "epoch": null, "name": "xz", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "xz-libs": [ { "arch": "x86_64", "epoch": null, "name": "xz-libs", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "yum": [ { "arch": "noarch", "epoch": null, "name": "yum", "release": "168.el7.centos", "source": "rpm", "version": "3.4.3" } ], "yum-metadata-parser": [ { "arch": "x86_64", "epoch": null, "name": "yum-metadata-parser", "release": "10.el7", "source": "rpm", "version": "1.1.4" } ], "yum-plugin-fastestmirror": [ { "arch": "noarch", "epoch": null, "name": "yum-plugin-fastestmirror", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "yum-utils": [ { "arch": "noarch", "epoch": null, "name": "yum-utils", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "zip": [ { "arch": "x86_64", "epoch": null, "name": "zip", "release": "11.el7", "source": "rpm", "version": "3.0" } ], "zlib": [ { "arch": "x86_64", "epoch": null, "name": "zlib", "release": "21.el7_9", "source": "rpm", "version": "1.2.7" } ] } }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog version] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:48 Saturday 24 February 2024 08:46:55 +0000 (0:00:01.127) 0:00:33.417 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_version": "8.24.0" }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 1] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:55 Saturday 24 February 2024 08:46:55 +0000 (0:00:00.041) 0:00:33.459 ***** ok: [sut] => {} MSG: Rsyslog_version is 8.24.0, which is older than "8.37.0-7.2". TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 2] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:60 Saturday 24 February 2024 08:46:55 +0000 (0:00:00.028) 0:00:33.487 ***** skipping: [sut] => { "false_condition": "__rsyslog_version is version('8.37.0-7.2', '>')" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set __rsyslog_failed_validation] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:68 Saturday 24 February 2024 08:46:55 +0000 (0:00:00.030) 0:00:33.518 ***** skipping: [sut] => { "changed": false, "false_condition": "rsyslog_in_image | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create the config directory if it does not exist] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:76 Saturday 24 February 2024 08:46:55 +0000 (0:00:00.034) 0:00:33.552 ***** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/etc/rsyslog.d", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create a work directory] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:83 Saturday 24 February 2024 08:46:55 +0000 (0:00:00.158) 0:00:33.711 ***** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0700", "owner": "root", "path": "/var/lib/rsyslog", "secontext": "system_u:object_r:syslogd_var_lib_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create logging directory if it does not exist or the ownership and/or modes are different.] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:89 Saturday 24 February 2024 08:46:55 +0000 (0:00:00.152) 0:00:33.863 ***** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/var/log", "secontext": "system_u:object_r:var_log_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate global rule to add to __rsyslog_common_rules] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:97 Saturday 24 February 2024 08:46:55 +0000 (0:00:00.164) 0:00:34.027 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_common_rules": [ { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate common rsyslog configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:120 Saturday 24 February 2024 08:46:55 +0000 (0:00:00.090) 0:00:34.118 ***** skipping: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Initialize list of template results] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:144 Saturday 24 February 2024 08:46:55 +0000 (0:00:00.040) 0:00:34.158 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove common config files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:148 Saturday 24 February 2024 08:46:55 +0000 (0:00:00.030) 0:00:34.188 ***** skipping: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include input sub-vars] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:166 Saturday 24 February 2024 08:46:55 +0000 (0:00:00.052) 0:00:34.241 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run input sub-tasks] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:177 Saturday 24 February 2024 08:46:55 +0000 (0:00:00.018) 0:00:34.260 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include output sub-vars] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:192 Saturday 24 February 2024 08:46:55 +0000 (0:00:00.026) 0:00:34.286 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run output sub-tasks] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:204 Saturday 24 February 2024 08:46:55 +0000 (0:00:00.016) 0:00:34.302 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog config files not owned by any package] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:217 Saturday 24 February 2024 08:46:55 +0000 (0:00:00.015) 0:00:34.317 ***** ok: [sut] => { "changed": false, "cmd": "set -euo pipefail\nfor conf in $( ls \"/etc/rsyslog.d\" ); do\n rstr=$( rpm -qf \"/etc/rsyslog.d/$conf\" 2>&1 || : )\n if [[ \"$rstr\" == *\"not owned by any package\"* ]]; then\n echo \"/etc/rsyslog.d/$conf\"\n fi\ndone\n", "delta": "0:00:00.387444", "end": "2024-02-24 08:46:56.485666", "failed_when_result": false, "rc": 0, "start": "2024-02-24 08:46:56.098222" } STDOUT: /etc/rsyslog.d/00-global.conf /etc/rsyslog.d/05-common-defaults.conf /etc/rsyslog.d/10-input-files-modules.conf /etc/rsyslog.d/10-output-files-modules.conf /etc/rsyslog.d/10-output-forwards-modules.conf /etc/rsyslog.d/30-output-files-files_test0.conf /etc/rsyslog.d/30-output-files-files_test1.conf /etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf /etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf /etc/rsyslog.d/90-input-basics-basic_input.conf /etc/rsyslog.d/90-input-files-files_input.conf TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Purge - remove files not generated by current state] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:234 Saturday 24 February 2024 08:46:56 +0000 (0:00:00.527) 0:00:34.845 ***** changed: [sut] => (item=/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "path": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/10-output-forwards-modules.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/10-output-forwards-modules.conf", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/10-input-files-modules.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/10-input-files-modules.conf", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "path": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/90-input-files-files_input.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/90-input-files-files_input.conf", "path": "/etc/rsyslog.d/90-input-files-files_input.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/30-output-files-files_test1.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/30-output-files-files_test1.conf", "path": "/etc/rsyslog.d/30-output-files-files_test1.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/90-input-basics-basic_input.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "path": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/10-output-files-modules.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/10-output-files-modules.conf", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/05-common-defaults.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/05-common-defaults.conf", "path": "/etc/rsyslog.d/05-common-defaults.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/30-output-files-files_test0.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/30-output-files-files_test0.conf", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/00-global.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/00-global.conf", "path": "/etc/rsyslog.d/00-global.conf", "state": "absent" } Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy custom config files if they are specified in rsyslog_custom_config_files variable array.] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:254 Saturday 24 February 2024 08:46:57 +0000 (0:00:01.422) 0:00:36.267 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check tls is enabled in forwards output or remote input and logging_pki_files] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:275 Saturday 24 February 2024 08:46:57 +0000 (0:00:00.025) 0:00:36.292 ***** skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local ca_cert file to the target if needed] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:283 Saturday 24 February 2024 08:46:57 +0000 (0:00:00.040) 0:00:36.333 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local cert file to the target if needed] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:295 Saturday 24 February 2024 08:46:58 +0000 (0:00:00.031) 0:00:36.365 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local key file to the target if needed] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:307 Saturday 24 February 2024 08:46:58 +0000 (0:00:00.030) 0:00:36.395 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Enable rsyslog service] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:319 Saturday 24 February 2024 08:46:58 +0000 (0:00:00.064) 0:00:36.460 ***** changed: [sut] => { "changed": true, "enabled": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestampMonotonic": "0", "ActiveExitTimestampMonotonic": "0", "ActiveState": "inactive", "After": "system.slice network-online.target network.target basic.target", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "no", "AssertTimestampMonotonic": "0", "Before": "multi-user.target shutdown.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "no", "ConditionTimestampMonotonic": "0", "Conflicts": "shutdown.target", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "0", "ExecMainStartTimestampMonotonic": "0", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[n/a] ; stop_time=[n/a] ; pid=0 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestampMonotonic": "0", "InactiveExitTimestampMonotonic": "0", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "0", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "system.slice basic.target", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "dead", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network-online.target network.target", "WatchdogTimestampMonotonic": "0", "WatchdogUSec": "0" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Disable rsyslog service] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:328 Saturday 24 February 2024 08:46:58 +0000 (0:00:00.253) 0:00:36.714 ***** skipping: [sut] => { "changed": false, "false_condition": "not __rsyslog_enabled | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:337 Saturday 24 February 2024 08:46:58 +0000 (0:00:00.029) 0:00:36.743 ***** skipping: [sut] => { "false_condition": "__rsyslog_failed_validation | d(false)" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : See if there are any config files] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:349 Saturday 24 February 2024 08:46:58 +0000 (0:00:00.024) 0:00:36.767 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_generate_conf | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get mode of rsyslog.conf if it exists] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:356 Saturday 24 February 2024 08:46:58 +0000 (0:00:00.026) 0:00:36.793 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_generate_conf | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate main rsyslog configuration] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:362 Saturday 24 February 2024 08:46:58 +0000 (0:00:00.029) 0:00:36.823 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_generate_conf | bool", "skip_reason": "Conditional result was False" } TASK [Force all notified handlers to run at this point, not waiting for normal sync points] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:200 Saturday 24 February 2024 08:46:58 +0000 (0:00:00.046) 0:00:36.870 ***** NOTIFIED HANDLER fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd for sut META: triggered running handlers for sut RUNNING HANDLER [fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/handlers/main.yml:2 Saturday 24 February 2024 08:46:58 +0000 (0:00:00.015) 0:00:36.885 ***** changed: [sut] => { "changed": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestamp": "Sat 2024-02-24 08:46:58 UTC", "ActiveEnterTimestampMonotonic": "1234392711", "ActiveExitTimestampMonotonic": "0", "ActiveState": "active", "After": "system.slice network-online.target network.target basic.target", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "yes", "AssertTimestamp": "Sat 2024-02-24 08:46:58 UTC", "AssertTimestampMonotonic": "1234384369", "Before": "multi-user.target shutdown.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "yes", "ConditionTimestamp": "Sat 2024-02-24 08:46:58 UTC", "ConditionTimestampMonotonic": "1234384368", "Conflicts": "shutdown.target", "ControlGroup": "/system.slice/rsyslog.service", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "13107", "ExecMainStartTimestamp": "Sat 2024-02-24 08:46:58 UTC", "ExecMainStartTimestampMonotonic": "1234385211", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[Sat 2024-02-24 08:46:58 UTC] ; stop_time=[n/a] ; pid=13107 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestampMonotonic": "0", "InactiveExitTimestamp": "Sat 2024-02-24 08:46:58 UTC", "InactiveExitTimestampMonotonic": "1234385253", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "13107", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "system.slice basic.target", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "running", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network-online.target network.target", "WatchdogTimestamp": "Sat 2024-02-24 08:46:58 UTC", "WatchdogTimestampMonotonic": "1234392526", "WatchdogUSec": "0" } } TASK [Check ports managed by firewall and selinux] ***************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:203 Saturday 24 February 2024 08:46:58 +0000 (0:00:00.247) 0:00:37.133 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml for sut TASK [Check ports are not retrieved if both logging_manage_firewall and logging_manage_selinux are not true] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:3 Saturday 24 February 2024 08:46:58 +0000 (0:00:00.038) 0:00:37.171 ***** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check firewall port status (manage - tcp)] ******************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:13 Saturday 24 February 2024 08:46:58 +0000 (0:00:00.030) 0:00:37.202 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check firewall port status (manage - udp)] ******************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:22 Saturday 24 February 2024 08:46:58 +0000 (0:00:00.015) 0:00:37.218 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - tcp)] *************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:34 Saturday 24 February 2024 08:46:58 +0000 (0:00:00.014) 0:00:37.232 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - udp)] *************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:44 Saturday 24 February 2024 08:46:58 +0000 (0:00:00.030) 0:00:37.263 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [TEST CASE 1; Ensure that the role runs with complicated flows - N x M combinations] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:207 Saturday 24 February 2024 08:46:58 +0000 (0:00:00.014) 0:00:37.278 ***** TASK [fedora.linux_system_roles.logging : Set files output if files output is not defined and logging_inputs is not empty] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:7 Saturday 24 February 2024 08:46:58 +0000 (0:00:00.057) 0:00:37.336 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_outputs | d([]) | selectattr('name', 'defined') | selectattr('type', 'defined') | selectattr('type', 'match', '^files$') | list | length == 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Set rsyslog_outputs] ***************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:14 Saturday 24 February 2024 08:46:59 +0000 (0:00:00.030) 0:00:37.367 ***** ok: [sut] => { "ansible_facts": { "rsyslog_outputs": [ { "exclude": [ "authpriv.none", "auth.none", "cron.none", "mail.none" ], "name": "files_test0", "path": "/var/log/messages", "severity": "info", "type": "files" }, { "facility": "authpriv,auth", "name": "files_test1", "path": "/var/log/secure", "type": "files" }, { "facility": "local1", "name": "forwards_severity_and_facility", "severity": "info", "target": "host.domain", "tcp_port": 1514, "type": "forwards" }, { "facility": "local2", "name": "forwards_facility_only", "target": "host.domain", "tcp_port": 2514, "type": "forwards" } ] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set rsyslog_inputs] ****************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:18 Saturday 24 February 2024 08:46:59 +0000 (0:00:00.036) 0:00:37.404 ***** ok: [sut] => { "ansible_facts": { "rsyslog_inputs": [ { "name": "basic_input", "ratelimit_burst": 33333, "type": "basics" }, { "input_log_path": "/var/log/inputdirectory/*.log", "name": "files_input", "type": "files" } ] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set custom_config_files fact] ******** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:22 Saturday 24 February 2024 08:46:59 +0000 (0:00:00.121) 0:00:37.525 ***** skipping: [sut] => (item={'name': 'files_test0', 'type': 'files', 'severity': 'info', 'exclude': ['authpriv.none', 'auth.none', 'cron.none', 'mail.none'], 'path': '/var/log/messages'}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "exclude": [ "authpriv.none", "auth.none", "cron.none", "mail.none" ], "name": "files_test0", "path": "/var/log/messages", "severity": "info", "type": "files" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'files_test1', 'type': 'files', 'facility': 'authpriv,auth', 'path': '/var/log/secure'}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "facility": "authpriv,auth", "name": "files_test1", "path": "/var/log/secure", "type": "files" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'forwards_severity_and_facility', 'type': 'forwards', 'facility': 'local1', 'severity': 'info', 'target': 'host.domain', 'tcp_port': 1514}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "facility": "local1", "name": "forwards_severity_and_facility", "severity": "info", "target": "host.domain", "tcp_port": 1514, "type": "forwards" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'forwards_facility_only', 'type': 'forwards', 'facility': 'local2', 'target': 'host.domain', 'tcp_port': 2514}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "facility": "local2", "name": "forwards_facility_only", "target": "host.domain", "tcp_port": 2514, "type": "forwards" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.logging : Check logging_inputs item in logging_flows.inputs] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:34 Saturday 24 February 2024 08:46:59 +0000 (0:00:00.051) 0:00:37.577 ***** skipping: [sut] => (item={'name': 'flow_0', 'inputs': ['basic_input', 'files_input'], 'outputs': ['files_test0']}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.inputs | intersect(__logging_input_names) | length != item.inputs | length", "item": { "inputs": [ "basic_input", "files_input" ], "name": "flow_0", "outputs": [ "files_test0" ] }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'flow_1', 'inputs': ['basic_input', 'files_input'], 'outputs': ['files_test1']}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.inputs | intersect(__logging_input_names) | length != item.inputs | length", "item": { "inputs": [ "basic_input", "files_input" ], "name": "flow_1", "outputs": [ "files_test1" ] }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'flow_2', 'inputs': ['basic_input'], 'outputs': ['forwards_severity_and_facility']}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.inputs | intersect(__logging_input_names) | length != item.inputs | length", "item": { "inputs": [ "basic_input" ], "name": "flow_2", "outputs": [ "forwards_severity_and_facility" ] }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'flow_3', 'inputs': ['basic_input'], 'outputs': ['forwards_facility_only']}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.inputs | intersect(__logging_input_names) | length != item.inputs | length", "item": { "inputs": [ "basic_input" ], "name": "flow_3", "outputs": [ "forwards_facility_only" ] }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.logging : Gather ports specified in the logging_inputs and outputs vars] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:44 Saturday 24 February 2024 08:46:59 +0000 (0:00:00.062) 0:00:37.639 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_tls_tcp_ports] **** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:3 Saturday 24 February 2024 08:46:59 +0000 (0:00:00.045) 0:00:37.685 ***** ok: [sut] => { "ansible_facts": { "logging_tls_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tcp_ports] ******** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:7 Saturday 24 February 2024 08:46:59 +0000 (0:00:00.030) 0:00:37.715 ***** ok: [sut] => { "ansible_facts": { "logging_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tls_udp_ports] **** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:11 Saturday 24 February 2024 08:46:59 +0000 (0:00:00.029) 0:00:37.745 ***** ok: [sut] => { "ansible_facts": { "logging_tls_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_udp_ports] ******** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:15 Saturday 24 February 2024 08:46:59 +0000 (0:00:00.031) 0:00:37.776 ***** ok: [sut] => { "ansible_facts": { "logging_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Parameter 'port' values] ************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:24 Saturday 24 February 2024 08:46:59 +0000 (0:00:00.038) 0:00:37.815 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:88 Saturday 24 February 2024 08:46:59 +0000 (0:00:00.029) 0:00:37.845 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:92 Saturday 24 February 2024 08:46:59 +0000 (0:00:00.032) 0:00:37.878 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:96 Saturday 24 February 2024 08:46:59 +0000 (0:00:00.028) 0:00:37.906 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:100 Saturday 24 February 2024 08:46:59 +0000 (0:00:00.027) 0:00:37.934 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:104 Saturday 24 February 2024 08:46:59 +0000 (0:00:00.028) 0:00:37.963 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:108 Saturday 24 February 2024 08:46:59 +0000 (0:00:00.026) 0:00:37.990 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:153 Saturday 24 February 2024 08:46:59 +0000 (0:00:00.027) 0:00:38.017 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:157 Saturday 24 February 2024 08:46:59 +0000 (0:00:00.026) 0:00:38.044 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:161 Saturday 24 February 2024 08:46:59 +0000 (0:00:00.028) 0:00:38.072 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:165 Saturday 24 February 2024 08:46:59 +0000 (0:00:00.027) 0:00:38.100 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage firewall on the gathered ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:47 Saturday 24 February 2024 08:46:59 +0000 (0:00:00.026) 0:00:38.127 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_firewall_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:7 Saturday 24 February 2024 08:46:59 +0000 (0:00:00.045) 0:00:38.172 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add tcp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:11 Saturday 24 February 2024 08:46:59 +0000 (0:00:00.056) 0:00:38.229 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add udp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:17 Saturday 24 February 2024 08:46:59 +0000 (0:00:00.025) 0:00:38.254 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage firewall for specified ports] ************************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:23 Saturday 24 February 2024 08:46:59 +0000 (0:00:00.024) 0:00:38.278 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage selinux on the gathered ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:50 Saturday 24 February 2024 08:46:59 +0000 (0:00:00.026) 0:00:38.304 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_selinux_ports] **** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:7 Saturday 24 February 2024 08:47:00 +0000 (0:00:00.044) 0:00:38.349 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add non tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:11 Saturday 24 February 2024 08:47:00 +0000 (0:00:00.029) 0:00:38.378 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:16 Saturday 24 February 2024 08:47:00 +0000 (0:00:00.015) 0:00:38.393 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add non tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:21 Saturday 24 February 2024 08:47:00 +0000 (0:00:00.016) 0:00:38.410 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:26 Saturday 24 February 2024 08:47:00 +0000 (0:00:00.015) 0:00:38.425 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage selinux for specified ports] ************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:31 Saturday 24 February 2024 08:47:00 +0000 (0:00:00.014) 0:00:38.440 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Generate certificates] *************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:54 Saturday 24 February 2024 08:47:00 +0000 (0:00:00.026) 0:00:38.466 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml for sut TASK [Generate certificates] *************************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml:2 Saturday 24 February 2024 08:47:00 +0000 (0:00:00.043) 0:00:38.510 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_certificates | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Re-read facts after adding custom fact] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:60 Saturday 24 February 2024 08:47:00 +0000 (0:00:00.028) 0:00:38.539 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug dir] ************ task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:64 Saturday 24 February 2024 08:47:00 +0000 (0:00:00.026) 0:00:38.566 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Delete debug file] ******************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:70 Saturday 24 February 2024 08:47:00 +0000 (0:00:00.027) 0:00:38.593 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug file] *********** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:75 Saturday 24 February 2024 08:47:00 +0000 (0:00:00.027) 0:00:38.620 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Use a debug var to avoid an empty dict in with_dict] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:82 Saturday 24 February 2024 08:47:00 +0000 (0:00:00.030) 0:00:38.651 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Populate rsyslog debug file] ********* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:86 Saturday 24 February 2024 08:47:00 +0000 (0:00:00.028) 0:00:38.679 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [Include Rsyslog role] **************************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:97 Saturday 24 February 2024 08:47:00 +0000 (0:00:00.030) 0:00:38.710 ***** TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:4 Saturday 24 February 2024 08:47:00 +0000 (0:00:00.092) 0:00:38.802 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Ensure ansible_facts used by role] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:4 Saturday 24 February 2024 08:47:00 +0000 (0:00:00.027) 0:00:38.830 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_required_facts | difference(ansible_facts.keys() | list) | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check if system is ostree] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:12 Saturday 24 February 2024 08:47:00 +0000 (0:00:00.028) 0:00:38.859 ***** skipping: [sut] => { "changed": false, "false_condition": "not __logging_is_ostree is defined", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set flag to indicate system is ostree] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:17 Saturday 24 February 2024 08:47:00 +0000 (0:00:00.024) 0:00:38.883 ***** skipping: [sut] => { "changed": false, "false_condition": "not __logging_is_ostree is defined", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:21 Saturday 24 February 2024 08:47:00 +0000 (0:00:00.019) 0:00:38.903 ***** ok: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml", "skip_reason": "Conditional result was False" } ok: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics_rhel7.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include /vars/main.yml from /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:31 Saturday 24 February 2024 08:47:00 +0000 (0:00:00.043) 0:00:38.947 ***** skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Main rsyslog subrole] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:7 Saturday 24 February 2024 08:47:00 +0000 (0:00:00.050) 0:00:38.997 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get status of rsyslog packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:15 Saturday 24 February 2024 08:47:00 +0000 (0:00:00.052) 0:00:39.049 ***** skipping: [sut] => (item=iproute) => { "ansible_loop_var": "item", "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "item": "iproute", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=rsyslog) => { "ansible_loop_var": "item", "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "item": "rsyslog", "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset original confs - logging package is absent] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:22 Saturday 24 February 2024 08:47:00 +0000 (0:00:00.028) 0:00:39.077 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:30 Saturday 24 February 2024 08:47:00 +0000 (0:00:00.023) 0:00:39.101 ***** ok: [sut] => { "changed": false, "rc": 0, "results": [ "iproute-4.11.0-30.el7.x86_64 providing iproute is already installed", "rsyslog-8.24.0-57.el7_9.3.x86_64 providing rsyslog is already installed" ] } lsrpackages: iproute rsyslog TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset erased flag] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:40 Saturday 24 February 2024 08:47:01 +0000 (0:00:00.327) 0:00:39.428 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_erased": false }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 Saturday 24 February 2024 08:47:01 +0000 (0:00:00.017) 0:00:39.446 ***** ok: [sut] => { "ansible_facts": { "packages": { "NetworkManager": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-libnm": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-libnm", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-team": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-team", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-tui": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-tui", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "PyYAML": [ { "arch": "x86_64", "epoch": null, "name": "PyYAML", "release": "11.el7", "source": "rpm", "version": "3.10" } ], "acl": [ { "arch": "x86_64", "epoch": null, "name": "acl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "aic94xx-firmware": [ { "arch": "noarch", "epoch": null, "name": "aic94xx-firmware", "release": "6.el7", "source": "rpm", "version": "30" } ], "alsa-firmware": [ { "arch": "noarch", "epoch": null, "name": "alsa-firmware", "release": "2.el7", "source": "rpm", "version": "1.0.28" } ], "alsa-lib": [ { "arch": "x86_64", "epoch": null, "name": "alsa-lib", "release": "1.el7", "source": "rpm", "version": "1.1.8" } ], "alsa-tools-firmware": [ { "arch": "x86_64", "epoch": null, "name": "alsa-tools-firmware", "release": "1.el7", "source": "rpm", "version": "1.1.0" } ], "aspell": [ { "arch": "x86_64", "epoch": 12, "name": "aspell", "release": "9.el7", "source": "rpm", "version": "0.60.6.1" } ], "audit": [ { "arch": "x86_64", "epoch": null, "name": "audit", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs-python": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs-python", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "authconfig": [ { "arch": "x86_64", "epoch": null, "name": "authconfig", "release": "30.el7", "source": "rpm", "version": "6.2.8" } ], "avahi-libs": [ { "arch": "x86_64", "epoch": null, "name": "avahi-libs", "release": "20.el7", "source": "rpm", "version": "0.6.31" } ], "basesystem": [ { "arch": "noarch", "epoch": null, "name": "basesystem", "release": "7.el7.centos", "source": "rpm", "version": "10.0" } ], "bash": [ { "arch": "x86_64", "epoch": null, "name": "bash", "release": "35.el7_9", "source": "rpm", "version": "4.2.46" } ], "bc": [ { "arch": "x86_64", "epoch": null, "name": "bc", "release": "13.el7", "source": "rpm", "version": "1.06.95" } ], "bind-export-libs": [ { "arch": "x86_64", "epoch": 32, "name": "bind-export-libs", "release": "26.P2.el7_9.15", "source": "rpm", "version": "9.11.4" } ], "binutils": [ { "arch": "x86_64", "epoch": null, "name": "binutils", "release": "44.base.el7_9.1", "source": "rpm", "version": "2.27" } ], "biosdevname": [ { "arch": "x86_64", "epoch": null, "name": "biosdevname", "release": "2.el7", "source": "rpm", "version": "0.7.3" } ], "boost-date-time": [ { "arch": "x86_64", "epoch": null, "name": "boost-date-time", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-system": [ { "arch": "x86_64", "epoch": null, "name": "boost-system", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-thread": [ { "arch": "x86_64", "epoch": null, "name": "boost-thread", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "btrfs-progs": [ { "arch": "x86_64", "epoch": null, "name": "btrfs-progs", "release": "1.el7", "source": "rpm", "version": "4.9.1" } ], "bzip2-libs": [ { "arch": "x86_64", "epoch": null, "name": "bzip2-libs", "release": "13.el7", "source": "rpm", "version": "1.0.6" } ], "ca-certificates": [ { "arch": "noarch", "epoch": null, "name": "ca-certificates", "release": "72.el7_9", "source": "rpm", "version": "2023.2.60_v7.0.306" } ], "centos-logos": [ { "arch": "noarch", "epoch": null, "name": "centos-logos", "release": "3.el7.centos", "source": "rpm", "version": "70.0.6" } ], "centos-release": [ { "arch": "x86_64", "epoch": null, "name": "centos-release", "release": "9.2009.1.el7.centos", "source": "rpm", "version": "7" } ], "checkpolicy": [ { "arch": "x86_64", "epoch": null, "name": "checkpolicy", "release": "8.el7", "source": "rpm", "version": "2.5" } ], "chkconfig": [ { "arch": "x86_64", "epoch": null, "name": "chkconfig", "release": "1.el7", "source": "rpm", "version": "1.7.6" } ], "chrony": [ { "arch": "x86_64", "epoch": null, "name": "chrony", "release": "1.el7", "source": "rpm", "version": "3.4" } ], "cloud-utils-growpart": [ { "arch": "noarch", "epoch": null, "name": "cloud-utils-growpart", "release": "5.el7", "source": "rpm", "version": "0.29" } ], "coreutils": [ { "arch": "x86_64", "epoch": null, "name": "coreutils", "release": "24.el7_9.2", "source": "rpm", "version": "8.22" } ], "cpio": [ { "arch": "x86_64", "epoch": null, "name": "cpio", "release": "28.el7", "source": "rpm", "version": "2.11" } ], "cpp": [ { "arch": "x86_64", "epoch": null, "name": "cpp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "cracklib": [ { "arch": "x86_64", "epoch": null, "name": "cracklib", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "cracklib-dicts": [ { "arch": "x86_64", "epoch": null, "name": "cracklib-dicts", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "createrepo": [ { "arch": "noarch", "epoch": null, "name": "createrepo", "release": "28.el7", "source": "rpm", "version": "0.9.9" } ], "cronie": [ { "arch": "x86_64", "epoch": null, "name": "cronie", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "cronie-anacron": [ { "arch": "x86_64", "epoch": null, "name": "cronie-anacron", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "crontabs": [ { "arch": "noarch", "epoch": null, "name": "crontabs", "release": "6.20121102git.el7", "source": "rpm", "version": "1.11" } ], "cryptsetup-libs": [ { "arch": "x86_64", "epoch": null, "name": "cryptsetup-libs", "release": "6.el7", "source": "rpm", "version": "2.0.3" } ], "curl": [ { "arch": "x86_64", "epoch": null, "name": "curl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "cyrus-sasl-lib": [ { "arch": "x86_64", "epoch": null, "name": "cyrus-sasl-lib", "release": "24.el7_9", "source": "rpm", "version": "2.1.26" } ], "dbus": [ { "arch": "x86_64", "epoch": 1, "name": "dbus", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-glib": [ { "arch": "x86_64", "epoch": null, "name": "dbus-glib", "release": "7.el7", "source": "rpm", "version": "0.100" } ], "dbus-libs": [ { "arch": "x86_64", "epoch": 1, "name": "dbus-libs", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-python": [ { "arch": "x86_64", "epoch": null, "name": "dbus-python", "release": "9.el7", "source": "rpm", "version": "1.1.1" } ], "deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "device-mapper": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "device-mapper-libs": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper-libs", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "dhcp-common": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-common", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "dhcp-libs": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-libs", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "diffutils": [ { "arch": "x86_64", "epoch": null, "name": "diffutils", "release": "6.el7_9", "source": "rpm", "version": "3.3" } ], "dmidecode": [ { "arch": "x86_64", "epoch": 1, "name": "dmidecode", "release": "5.el7_9.1", "source": "rpm", "version": "3.2" } ], "dnf-data": [ { "arch": "noarch", "epoch": null, "name": "dnf-data", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "dracut": [ { "arch": "x86_64", "epoch": null, "name": "dracut", "release": "572.el7", "source": "rpm", "version": "033" } ], "dracut-config-rescue": [ { "arch": "x86_64", "epoch": null, "name": "dracut-config-rescue", "release": "572.el7", "source": "rpm", "version": "033" } ], "dyninst": [ { "arch": "x86_64", "epoch": null, "name": "dyninst", "release": "3.el7", "source": "rpm", "version": "9.3.1" } ], "e2fsprogs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "e2fsprogs-libs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs-libs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "ebtables": [ { "arch": "x86_64", "epoch": null, "name": "ebtables", "release": "16.el7", "source": "rpm", "version": "2.0.10" } ], "efivar-libs": [ { "arch": "x86_64", "epoch": null, "name": "efivar-libs", "release": "12.el7", "source": "rpm", "version": "36" } ], "elfutils-default-yama-scope": [ { "arch": "noarch", "epoch": null, "name": "elfutils-default-yama-scope", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libelf": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libelf", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libs", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "epel-release": [ { "arch": "noarch", "epoch": null, "name": "epel-release", "release": "14", "source": "rpm", "version": "7" } ], "ethtool": [ { "arch": "x86_64", "epoch": 2, "name": "ethtool", "release": "10.el7", "source": "rpm", "version": "4.8" } ], "expat": [ { "arch": "x86_64", "epoch": null, "name": "expat", "release": "15.el7_9", "source": "rpm", "version": "2.1.0" } ], "file": [ { "arch": "x86_64", "epoch": null, "name": "file", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "file-libs": [ { "arch": "x86_64", "epoch": null, "name": "file-libs", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "filesystem": [ { "arch": "x86_64", "epoch": null, "name": "filesystem", "release": "25.el7", "source": "rpm", "version": "3.2" } ], "findutils": [ { "arch": "x86_64", "epoch": 1, "name": "findutils", "release": "6.el7", "source": "rpm", "version": "4.5.11" } ], "fipscheck": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "fipscheck-lib": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck-lib", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "firewalld": [ { "arch": "noarch", "epoch": null, "name": "firewalld", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "firewalld-filesystem": [ { "arch": "noarch", "epoch": null, "name": "firewalld-filesystem", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "freetype": [ { "arch": "x86_64", "epoch": null, "name": "freetype", "release": "14.el7_9.1", "source": "rpm", "version": "2.8" } ], "fxload": [ { "arch": "x86_64", "epoch": null, "name": "fxload", "release": "16.el7", "source": "rpm", "version": "2002_04_11" } ], "gawk": [ { "arch": "x86_64", "epoch": null, "name": "gawk", "release": "4.el7_3.1", "source": "rpm", "version": "4.0.2" } ], "gcc": [ { "arch": "x86_64", "epoch": null, "name": "gcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "gdbm": [ { "arch": "x86_64", "epoch": null, "name": "gdbm", "release": "8.el7", "source": "rpm", "version": "1.10" } ], "gettext": [ { "arch": "x86_64", "epoch": null, "name": "gettext", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "gettext-libs": [ { "arch": "x86_64", "epoch": null, "name": "gettext-libs", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "git": [ { "arch": "x86_64", "epoch": null, "name": "git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "glib2": [ { "arch": "x86_64", "epoch": null, "name": "glib2", "release": "9.el7_9", "source": "rpm", "version": "2.56.1" } ], "glibc": [ { "arch": "x86_64", "epoch": null, "name": "glibc", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-common": [ { "arch": "x86_64", "epoch": null, "name": "glibc-common", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-devel": [ { "arch": "x86_64", "epoch": null, "name": "glibc-devel", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-headers": [ { "arch": "x86_64", "epoch": null, "name": "glibc-headers", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "gmp": [ { "arch": "x86_64", "epoch": 1, "name": "gmp", "release": "15.el7", "source": "rpm", "version": "6.0.0" } ], "gnupg2": [ { "arch": "x86_64", "epoch": null, "name": "gnupg2", "release": "5.el7_5", "source": "rpm", "version": "2.0.22" } ], "gobject-introspection": [ { "arch": "x86_64", "epoch": null, "name": "gobject-introspection", "release": "1.el7", "source": "rpm", "version": "1.56.1" } ], "gpg-pubkey": [ { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "52ae6884", "source": "rpm", "version": "352c64e5" }, { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "53a7ff4b", "source": "rpm", "version": "f4a80eb5" } ], "gpgme": [ { "arch": "x86_64", "epoch": null, "name": "gpgme", "release": "5.el7", "source": "rpm", "version": "1.3.2" } ], "gpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "gpm-libs", "release": "6.el7", "source": "rpm", "version": "1.20.7" } ], "grep": [ { "arch": "x86_64", "epoch": null, "name": "grep", "release": "3.el7", "source": "rpm", "version": "2.20" } ], "groff-base": [ { "arch": "x86_64", "epoch": null, "name": "groff-base", "release": "8.el7", "source": "rpm", "version": "1.22.2" } ], "grub2": [ { "arch": "x86_64", "epoch": 1, "name": "grub2", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-common": [ { "arch": "noarch", "epoch": 1, "name": "grub2-common", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-pc", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc-modules": [ { "arch": "noarch", "epoch": 1, "name": "grub2-pc-modules", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-extra": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-extra", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-minimal": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-minimal", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grubby": [ { "arch": "x86_64", "epoch": null, "name": "grubby", "release": "26.el7", "source": "rpm", "version": "8.28" } ], "gssproxy": [ { "arch": "x86_64", "epoch": null, "name": "gssproxy", "release": "30.el7_9", "source": "rpm", "version": "0.7.0" } ], "gzip": [ { "arch": "x86_64", "epoch": null, "name": "gzip", "release": "11.el7_9", "source": "rpm", "version": "1.5" } ], "hardlink": [ { "arch": "x86_64", "epoch": 1, "name": "hardlink", "release": "19.el7", "source": "rpm", "version": "1.0" } ], "hostname": [ { "arch": "x86_64", "epoch": null, "name": "hostname", "release": "3.el7_7.1", "source": "rpm", "version": "3.13" } ], "hwdata": [ { "arch": "x86_64", "epoch": null, "name": "hwdata", "release": "9.7.el7", "source": "rpm", "version": "0.252" } ], "info": [ { "arch": "x86_64", "epoch": null, "name": "info", "release": "5.el7", "source": "rpm", "version": "5.1" } ], "iproute": [ { "arch": "x86_64", "epoch": null, "name": "iproute", "release": "30.el7", "source": "rpm", "version": "4.11.0" } ], "iprutils": [ { "arch": "x86_64", "epoch": null, "name": "iprutils", "release": "3.el7_7", "source": "rpm", "version": "2.4.17.1" } ], "ipset": [ { "arch": "x86_64", "epoch": null, "name": "ipset", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "ipset-libs": [ { "arch": "x86_64", "epoch": null, "name": "ipset-libs", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "iptables": [ { "arch": "x86_64", "epoch": null, "name": "iptables", "release": "35.el7", "source": "rpm", "version": "1.4.21" } ], "iputils": [ { "arch": "x86_64", "epoch": null, "name": "iputils", "release": "10.el7", "source": "rpm", "version": "20160308" } ], "irqbalance": [ { "arch": "x86_64", "epoch": 3, "name": "irqbalance", "release": "12.el7", "source": "rpm", "version": "1.0.7" } ], "ivtv-firmware": [ { "arch": "noarch", "epoch": 2, "name": "ivtv-firmware", "release": "26.el7", "source": "rpm", "version": "20080701" } ], "iwl100-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl100-firmware", "release": "82.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl1000-firmware": [ { "arch": "noarch", "epoch": 1, "name": "iwl1000-firmware", "release": "82.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl105-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl105-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl135-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl135-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2000-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2030-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2030-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl3160-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3160-firmware", "release": "82.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "iwl3945-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3945-firmware", "release": "82.el7_9", "source": "rpm", "version": "15.32.2.9" } ], "iwl4965-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl4965-firmware", "release": "82.el7_9", "source": "rpm", "version": "228.61.2.24" } ], "iwl5000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5000-firmware", "release": "82.el7_9", "source": "rpm", "version": "8.83.5.1_1" } ], "iwl5150-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5150-firmware", "release": "82.el7_9", "source": "rpm", "version": "8.24.2.2" } ], "iwl6000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000-firmware", "release": "82.el7_9", "source": "rpm", "version": "9.221.4.1" } ], "iwl6000g2a-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2a-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6000g2b-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2b-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6050-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6050-firmware", "release": "82.el7_9", "source": "rpm", "version": "41.28.5.1" } ], "iwl7260-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl7260-firmware", "release": "82.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "jansson": [ { "arch": "x86_64", "epoch": null, "name": "jansson", "release": "1.el7", "source": "rpm", "version": "2.10" } ], "json-c": [ { "arch": "x86_64", "epoch": null, "name": "json-c", "release": "4.el7_0", "source": "rpm", "version": "0.11" } ], "kbd-legacy": [ { "arch": "noarch", "epoch": null, "name": "kbd-legacy", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kbd-misc": [ { "arch": "noarch", "epoch": null, "name": "kbd-misc", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kernel": [ { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.el7", "source": "rpm", "version": "3.10.0" }, { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-debug-devel": [ { "arch": "x86_64", "epoch": null, "name": "kernel-debug-devel", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-headers": [ { "arch": "x86_64", "epoch": null, "name": "kernel-headers", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools-libs": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools-libs", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "keyutils": [ { "arch": "x86_64", "epoch": null, "name": "keyutils", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "keyutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "keyutils-libs", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "kmod": [ { "arch": "x86_64", "epoch": null, "name": "kmod", "release": "28.el7", "source": "rpm", "version": "20" } ], "kmod-libs": [ { "arch": "x86_64", "epoch": null, "name": "kmod-libs", "release": "28.el7", "source": "rpm", "version": "20" } ], "kpartx": [ { "arch": "x86_64", "epoch": null, "name": "kpartx", "release": "136.el7_9", "source": "rpm", "version": "0.4.9" } ], "krb5-libs": [ { "arch": "x86_64", "epoch": null, "name": "krb5-libs", "release": "55.el7_9", "source": "rpm", "version": "1.15.1" } ], "less": [ { "arch": "x86_64", "epoch": null, "name": "less", "release": "9.el7", "source": "rpm", "version": "458" } ], "libacl": [ { "arch": "x86_64", "epoch": null, "name": "libacl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "libassuan": [ { "arch": "x86_64", "epoch": null, "name": "libassuan", "release": "3.el7", "source": "rpm", "version": "2.1.0" } ], "libattr": [ { "arch": "x86_64", "epoch": null, "name": "libattr", "release": "13.el7", "source": "rpm", "version": "2.4.46" } ], "libbasicobjects": [ { "arch": "x86_64", "epoch": null, "name": "libbasicobjects", "release": "32.el7", "source": "rpm", "version": "0.1.1" } ], "libblkid": [ { "arch": "x86_64", "epoch": null, "name": "libblkid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libcap": [ { "arch": "x86_64", "epoch": null, "name": "libcap", "release": "11.el7", "source": "rpm", "version": "2.22" } ], "libcap-ng": [ { "arch": "x86_64", "epoch": null, "name": "libcap-ng", "release": "4.el7", "source": "rpm", "version": "0.7.5" } ], "libcgroup": [ { "arch": "x86_64", "epoch": null, "name": "libcgroup", "release": "21.el7", "source": "rpm", "version": "0.41" } ], "libcollection": [ { "arch": "x86_64", "epoch": null, "name": "libcollection", "release": "32.el7", "source": "rpm", "version": "0.7.0" } ], "libcom_err": [ { "arch": "x86_64", "epoch": null, "name": "libcom_err", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libcomps": [ { "arch": "x86_64", "epoch": null, "name": "libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "libcroco": [ { "arch": "x86_64", "epoch": null, "name": "libcroco", "release": "6.el7_9", "source": "rpm", "version": "0.6.12" } ], "libcurl": [ { "arch": "x86_64", "epoch": null, "name": "libcurl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "libdaemon": [ { "arch": "x86_64", "epoch": null, "name": "libdaemon", "release": "7.el7", "source": "rpm", "version": "0.14" } ], "libdb": [ { "arch": "x86_64", "epoch": null, "name": "libdb", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdb-utils": [ { "arch": "x86_64", "epoch": null, "name": "libdb-utils", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdnf": [ { "arch": "x86_64", "epoch": null, "name": "libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "libdrm": [ { "arch": "x86_64", "epoch": null, "name": "libdrm", "release": "2.el7", "source": "rpm", "version": "2.4.97" } ], "libdwarf": [ { "arch": "x86_64", "epoch": null, "name": "libdwarf", "release": "4.el7", "source": "rpm", "version": "20130207" } ], "libedit": [ { "arch": "x86_64", "epoch": null, "name": "libedit", "release": "12.20121213cvs.el7", "source": "rpm", "version": "3.0" } ], "libestr": [ { "arch": "x86_64", "epoch": null, "name": "libestr", "release": "2.el7", "source": "rpm", "version": "0.1.9" } ], "libevent": [ { "arch": "x86_64", "epoch": null, "name": "libevent", "release": "4.el7", "source": "rpm", "version": "2.0.21" } ], "libfastjson": [ { "arch": "x86_64", "epoch": null, "name": "libfastjson", "release": "3.el7", "source": "rpm", "version": "0.99.4" } ], "libffi": [ { "arch": "x86_64", "epoch": null, "name": "libffi", "release": "19.el7", "source": "rpm", "version": "3.0.13" } ], "libgcc": [ { "arch": "x86_64", "epoch": null, "name": "libgcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgcrypt": [ { "arch": "x86_64", "epoch": null, "name": "libgcrypt", "release": "14.el7", "source": "rpm", "version": "1.5.3" } ], "libgomp": [ { "arch": "x86_64", "epoch": null, "name": "libgomp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgpg-error": [ { "arch": "x86_64", "epoch": null, "name": "libgpg-error", "release": "3.el7", "source": "rpm", "version": "1.12" } ], "libidn": [ { "arch": "x86_64", "epoch": null, "name": "libidn", "release": "4.el7", "source": "rpm", "version": "1.28" } ], "libini_config": [ { "arch": "x86_64", "epoch": null, "name": "libini_config", "release": "32.el7", "source": "rpm", "version": "1.3.1" } ], "libmnl": [ { "arch": "x86_64", "epoch": null, "name": "libmnl", "release": "7.el7", "source": "rpm", "version": "1.0.3" } ], "libmodulemd": [ { "arch": "x86_64", "epoch": null, "name": "libmodulemd", "release": "1.el7", "source": "rpm", "version": "1.6.3" } ], "libmount": [ { "arch": "x86_64", "epoch": null, "name": "libmount", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libmpc": [ { "arch": "x86_64", "epoch": null, "name": "libmpc", "release": "3.el7", "source": "rpm", "version": "1.0.1" } ], "libndp": [ { "arch": "x86_64", "epoch": null, "name": "libndp", "release": "9.el7", "source": "rpm", "version": "1.2" } ], "libnetfilter_conntrack": [ { "arch": "x86_64", "epoch": null, "name": "libnetfilter_conntrack", "release": "1.el7_3", "source": "rpm", "version": "1.0.6" } ], "libnfnetlink": [ { "arch": "x86_64", "epoch": null, "name": "libnfnetlink", "release": "4.el7", "source": "rpm", "version": "1.0.1" } ], "libnfsidmap": [ { "arch": "x86_64", "epoch": null, "name": "libnfsidmap", "release": "19.el7", "source": "rpm", "version": "0.25" } ], "libnl3": [ { "arch": "x86_64", "epoch": null, "name": "libnl3", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libnl3-cli": [ { "arch": "x86_64", "epoch": null, "name": "libnl3-cli", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libpath_utils": [ { "arch": "x86_64", "epoch": null, "name": "libpath_utils", "release": "32.el7", "source": "rpm", "version": "0.2.1" } ], "libpciaccess": [ { "arch": "x86_64", "epoch": null, "name": "libpciaccess", "release": "1.el7", "source": "rpm", "version": "0.14" } ], "libpipeline": [ { "arch": "x86_64", "epoch": null, "name": "libpipeline", "release": "3.el7", "source": "rpm", "version": "1.2.3" } ], "libpng": [ { "arch": "x86_64", "epoch": 2, "name": "libpng", "release": "8.el7", "source": "rpm", "version": "1.5.13" } ], "libpwquality": [ { "arch": "x86_64", "epoch": null, "name": "libpwquality", "release": "5.el7", "source": "rpm", "version": "1.2.3" } ], "libref_array": [ { "arch": "x86_64", "epoch": null, "name": "libref_array", "release": "32.el7", "source": "rpm", "version": "0.1.5" } ], "librepo": [ { "arch": "x86_64", "epoch": null, "name": "librepo", "release": "8.el7_9", "source": "rpm", "version": "1.8.1" } ], "libreport-filesystem": [ { "arch": "x86_64", "epoch": null, "name": "libreport-filesystem", "release": "53.el7.centos", "source": "rpm", "version": "2.1.11" } ], "libseccomp": [ { "arch": "x86_64", "epoch": null, "name": "libseccomp", "release": "4.el7", "source": "rpm", "version": "2.3.1" } ], "libselinux": [ { "arch": "x86_64", "epoch": null, "name": "libselinux", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-python": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-python", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-utils": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-utils", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libsemanage": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsemanage-python": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage-python", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsepol": [ { "arch": "x86_64", "epoch": null, "name": "libsepol", "release": "10.el7", "source": "rpm", "version": "2.5" } ], "libsmartcols": [ { "arch": "x86_64", "epoch": null, "name": "libsmartcols", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libsolv": [ { "arch": "x86_64", "epoch": null, "name": "libsolv", "release": "4.el7", "source": "rpm", "version": "0.6.34" } ], "libss": [ { "arch": "x86_64", "epoch": null, "name": "libss", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libssh2": [ { "arch": "x86_64", "epoch": null, "name": "libssh2", "release": "4.el7_9.1", "source": "rpm", "version": "1.8.0" } ], "libstdc++": [ { "arch": "x86_64", "epoch": null, "name": "libstdc++", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libsysfs": [ { "arch": "x86_64", "epoch": null, "name": "libsysfs", "release": "16.el7", "source": "rpm", "version": "2.1.0" } ], "libtasn1": [ { "arch": "x86_64", "epoch": null, "name": "libtasn1", "release": "1.el7", "source": "rpm", "version": "4.10" } ], "libteam": [ { "arch": "x86_64", "epoch": null, "name": "libteam", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "libtirpc": [ { "arch": "x86_64", "epoch": null, "name": "libtirpc", "release": "0.16.el7", "source": "rpm", "version": "0.2.4" } ], "libunistring": [ { "arch": "x86_64", "epoch": null, "name": "libunistring", "release": "9.el7", "source": "rpm", "version": "0.9.3" } ], "libuser": [ { "arch": "x86_64", "epoch": null, "name": "libuser", "release": "9.el7", "source": "rpm", "version": "0.60" } ], "libutempter": [ { "arch": "x86_64", "epoch": null, "name": "libutempter", "release": "4.el7", "source": "rpm", "version": "1.1.6" } ], "libuuid": [ { "arch": "x86_64", "epoch": null, "name": "libuuid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libverto": [ { "arch": "x86_64", "epoch": null, "name": "libverto", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libverto-libevent": [ { "arch": "x86_64", "epoch": null, "name": "libverto-libevent", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libxml2": [ { "arch": "x86_64", "epoch": null, "name": "libxml2", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxml2-python": [ { "arch": "x86_64", "epoch": null, "name": "libxml2-python", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxslt": [ { "arch": "x86_64", "epoch": null, "name": "libxslt", "release": "6.el7", "source": "rpm", "version": "1.1.28" } ], "libyaml": [ { "arch": "x86_64", "epoch": null, "name": "libyaml", "release": "11.el7_0", "source": "rpm", "version": "0.1.4" } ], "linux-firmware": [ { "arch": "noarch", "epoch": null, "name": "linux-firmware", "release": "82.git78c0348.el7_9", "source": "rpm", "version": "20200421" } ], "logrotate": [ { "arch": "x86_64", "epoch": null, "name": "logrotate", "release": "19.el7", "source": "rpm", "version": "3.8.6" } ], "lshw": [ { "arch": "x86_64", "epoch": null, "name": "lshw", "release": "0.1.20180614git028f6b2.beaker.1.el7bkr.1", "source": "rpm", "version": "B.02.19" } ], "lsscsi": [ { "arch": "x86_64", "epoch": null, "name": "lsscsi", "release": "6.el7", "source": "rpm", "version": "0.27" } ], "lua": [ { "arch": "x86_64", "epoch": null, "name": "lua", "release": "15.el7", "source": "rpm", "version": "5.1.4" } ], "lz4": [ { "arch": "x86_64", "epoch": null, "name": "lz4", "release": "1.el7", "source": "rpm", "version": "1.8.3" } ], "lzo": [ { "arch": "x86_64", "epoch": null, "name": "lzo", "release": "8.el7", "source": "rpm", "version": "2.06" } ], "mailcap": [ { "arch": "noarch", "epoch": null, "name": "mailcap", "release": "2.el7", "source": "rpm", "version": "2.1.41" } ], "make": [ { "arch": "x86_64", "epoch": 1, "name": "make", "release": "24.el7", "source": "rpm", "version": "3.82" } ], "man-db": [ { "arch": "x86_64", "epoch": null, "name": "man-db", "release": "11.el7", "source": "rpm", "version": "2.6.3" } ], "mariadb-libs": [ { "arch": "x86_64", "epoch": 1, "name": "mariadb-libs", "release": "1.el7", "source": "rpm", "version": "5.5.68" } ], "microcode_ctl": [ { "arch": "x86_64", "epoch": 2, "name": "microcode_ctl", "release": "73.20.el7_9", "source": "rpm", "version": "2.1" } ], "mokutil": [ { "arch": "x86_64", "epoch": null, "name": "mokutil", "release": "8.el7", "source": "rpm", "version": "15" } ], "mozjs17": [ { "arch": "x86_64", "epoch": null, "name": "mozjs17", "release": "20.el7", "source": "rpm", "version": "17.0.0" } ], "mpfr": [ { "arch": "x86_64", "epoch": null, "name": "mpfr", "release": "4.el7", "source": "rpm", "version": "3.1.1" } ], "ncurses": [ { "arch": "x86_64", "epoch": null, "name": "ncurses", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-base": [ { "arch": "noarch", "epoch": null, "name": "ncurses-base", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-libs": [ { "arch": "x86_64", "epoch": null, "name": "ncurses-libs", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "net-tools": [ { "arch": "x86_64", "epoch": null, "name": "net-tools", "release": "0.25.20131004git.el7", "source": "rpm", "version": "2.0" } ], "newt": [ { "arch": "x86_64", "epoch": null, "name": "newt", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "newt-python": [ { "arch": "x86_64", "epoch": null, "name": "newt-python", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "nfs-utils": [ { "arch": "x86_64", "epoch": 1, "name": "nfs-utils", "release": "0.68.el7.2", "source": "rpm", "version": "1.3.0" } ], "nspr": [ { "arch": "x86_64", "epoch": null, "name": "nspr", "release": "1.el7_9", "source": "rpm", "version": "4.35.0" } ], "nss": [ { "arch": "x86_64", "epoch": null, "name": "nss", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-pem": [ { "arch": "x86_64", "epoch": null, "name": "nss-pem", "release": "7.el7_9.1", "source": "rpm", "version": "1.0.3" } ], "nss-softokn": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-softokn-freebl": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn-freebl", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-sysinit": [ { "arch": "x86_64", "epoch": null, "name": "nss-sysinit", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-tools": [ { "arch": "x86_64", "epoch": null, "name": "nss-tools", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-util": [ { "arch": "x86_64", "epoch": null, "name": "nss-util", "release": "1.el7_9", "source": "rpm", "version": "3.90.0" } ], "ntpdate": [ { "arch": "x86_64", "epoch": null, "name": "ntpdate", "release": "29.el7.centos.2", "source": "rpm", "version": "4.2.6p5" } ], "numactl-libs": [ { "arch": "x86_64", "epoch": null, "name": "numactl-libs", "release": "5.el7", "source": "rpm", "version": "2.0.12" } ], "openldap": [ { "arch": "x86_64", "epoch": null, "name": "openldap", "release": "25.el7_9", "source": "rpm", "version": "2.4.44" } ], "openssh": [ { "arch": "x86_64", "epoch": null, "name": "openssh", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-clients": [ { "arch": "x86_64", "epoch": null, "name": "openssh-clients", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-server": [ { "arch": "x86_64", "epoch": null, "name": "openssh-server", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssl": [ { "arch": "x86_64", "epoch": 1, "name": "openssl", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "openssl-libs": [ { "arch": "x86_64", "epoch": 1, "name": "openssl-libs", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "os-prober": [ { "arch": "x86_64", "epoch": null, "name": "os-prober", "release": "9.el7", "source": "rpm", "version": "1.58" } ], "p11-kit": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "p11-kit-trust": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit-trust", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "pam": [ { "arch": "x86_64", "epoch": null, "name": "pam", "release": "23.el7", "source": "rpm", "version": "1.1.8" } ], "parted": [ { "arch": "x86_64", "epoch": null, "name": "parted", "release": "32.el7", "source": "rpm", "version": "3.1" } ], "passwd": [ { "arch": "x86_64", "epoch": null, "name": "passwd", "release": "6.el7", "source": "rpm", "version": "0.79" } ], "pciutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "pciutils-libs", "release": "3.el7", "source": "rpm", "version": "3.5.1" } ], "pcre": [ { "arch": "x86_64", "epoch": null, "name": "pcre", "release": "17.el7", "source": "rpm", "version": "8.32" } ], "perl": [ { "arch": "x86_64", "epoch": 4, "name": "perl", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-Business-ISBN": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN", "release": "2.el7", "source": "rpm", "version": "2.06" } ], "perl-Business-ISBN-Data": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN-Data", "release": "2.el7", "source": "rpm", "version": "20120719.001" } ], "perl-CGI": [ { "arch": "noarch", "epoch": null, "name": "perl-CGI", "release": "4.el7", "source": "rpm", "version": "3.63" } ], "perl-Carp": [ { "arch": "noarch", "epoch": null, "name": "perl-Carp", "release": "244.el7", "source": "rpm", "version": "1.26" } ], "perl-Compress-Raw-Bzip2": [ { "arch": "x86_64", "epoch": null, "name": "perl-Compress-Raw-Bzip2", "release": "3.el7", "source": "rpm", "version": "2.061" } ], "perl-Compress-Raw-Zlib": [ { "arch": "x86_64", "epoch": 1, "name": "perl-Compress-Raw-Zlib", "release": "4.el7", "source": "rpm", "version": "2.061" } ], "perl-Data-Dumper": [ { "arch": "x86_64", "epoch": null, "name": "perl-Data-Dumper", "release": "3.el7", "source": "rpm", "version": "2.145" } ], "perl-Date-Manip": [ { "arch": "noarch", "epoch": null, "name": "perl-Date-Manip", "release": "2.el7", "source": "rpm", "version": "6.41" } ], "perl-Digest": [ { "arch": "noarch", "epoch": null, "name": "perl-Digest", "release": "245.el7", "source": "rpm", "version": "1.17" } ], "perl-Digest-MD5": [ { "arch": "x86_64", "epoch": null, "name": "perl-Digest-MD5", "release": "3.el7", "source": "rpm", "version": "2.52" } ], "perl-Encode": [ { "arch": "x86_64", "epoch": null, "name": "perl-Encode", "release": "7.el7", "source": "rpm", "version": "2.51" } ], "perl-Encode-Locale": [ { "arch": "noarch", "epoch": null, "name": "perl-Encode-Locale", "release": "5.el7", "source": "rpm", "version": "1.03" } ], "perl-Error": [ { "arch": "noarch", "epoch": 1, "name": "perl-Error", "release": "2.el7", "source": "rpm", "version": "0.17020" } ], "perl-Exporter": [ { "arch": "noarch", "epoch": null, "name": "perl-Exporter", "release": "3.el7", "source": "rpm", "version": "5.68" } ], "perl-FCGI": [ { "arch": "x86_64", "epoch": 1, "name": "perl-FCGI", "release": "8.el7", "source": "rpm", "version": "0.74" } ], "perl-File-Listing": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Listing", "release": "7.el7", "source": "rpm", "version": "6.04" } ], "perl-File-Path": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Path", "release": "2.el7", "source": "rpm", "version": "2.09" } ], "perl-File-Temp": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Temp", "release": "3.el7", "source": "rpm", "version": "0.23.01" } ], "perl-Filter": [ { "arch": "x86_64", "epoch": null, "name": "perl-Filter", "release": "3.el7", "source": "rpm", "version": "1.49" } ], "perl-Font-AFM": [ { "arch": "noarch", "epoch": null, "name": "perl-Font-AFM", "release": "13.el7", "source": "rpm", "version": "1.20" } ], "perl-Getopt-Long": [ { "arch": "noarch", "epoch": null, "name": "perl-Getopt-Long", "release": "3.el7", "source": "rpm", "version": "2.40" } ], "perl-Git": [ { "arch": "noarch", "epoch": null, "name": "perl-Git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "perl-HTML-Format": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Format", "release": "7.el7", "source": "rpm", "version": "2.10" } ], "perl-HTML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-HTML-Parser", "release": "4.el7", "source": "rpm", "version": "3.71" } ], "perl-HTML-Tagset": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Tagset", "release": "15.el7", "source": "rpm", "version": "3.20" } ], "perl-HTML-Tree": [ { "arch": "noarch", "epoch": 1, "name": "perl-HTML-Tree", "release": "2.el7", "source": "rpm", "version": "5.03" } ], "perl-HTTP-Cookies": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Cookies", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Daemon": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Daemon", "release": "8.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Date": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Date", "release": "8.el7", "source": "rpm", "version": "6.02" } ], "perl-HTTP-Message": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Message", "release": "6.el7", "source": "rpm", "version": "6.06" } ], "perl-HTTP-Negotiate": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Negotiate", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Tiny": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Tiny", "release": "3.el7", "source": "rpm", "version": "0.033" } ], "perl-IO-Compress": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Compress", "release": "2.el7", "source": "rpm", "version": "2.061" } ], "perl-IO-HTML": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-HTML", "release": "2.el7", "source": "rpm", "version": "1.00" } ], "perl-IO-Socket-IP": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-IP", "release": "5.el7", "source": "rpm", "version": "0.21" } ], "perl-IO-Socket-SSL": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-SSL", "release": "7.el7", "source": "rpm", "version": "1.94" } ], "perl-IO-Zlib": [ { "arch": "noarch", "epoch": 1, "name": "perl-IO-Zlib", "release": "299.el7_9", "source": "rpm", "version": "1.10" } ], "perl-IO-stringy": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-stringy", "release": "22.el7", "source": "rpm", "version": "2.110" } ], "perl-LWP-MediaTypes": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-MediaTypes", "release": "2.el7", "source": "rpm", "version": "6.02" } ], "perl-LWP-Protocol-https": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-Protocol-https", "release": "4.el7", "source": "rpm", "version": "6.04" } ], "perl-Module-Load": [ { "arch": "noarch", "epoch": 1, "name": "perl-Module-Load", "release": "3.el7", "source": "rpm", "version": "0.24" } ], "perl-Mozilla-CA": [ { "arch": "noarch", "epoch": null, "name": "perl-Mozilla-CA", "release": "5.el7", "source": "rpm", "version": "20130114" } ], "perl-Net-HTTP": [ { "arch": "noarch", "epoch": null, "name": "perl-Net-HTTP", "release": "2.el7", "source": "rpm", "version": "6.06" } ], "perl-Net-LibIDN": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-LibIDN", "release": "15.el7", "source": "rpm", "version": "0.12" } ], "perl-Net-SSLeay": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-SSLeay", "release": "6.el7", "source": "rpm", "version": "1.55" } ], "perl-PathTools": [ { "arch": "x86_64", "epoch": null, "name": "perl-PathTools", "release": "5.el7", "source": "rpm", "version": "3.40" } ], "perl-Pod-Escapes": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Escapes", "release": "299.el7_9", "source": "rpm", "version": "1.04" } ], "perl-Pod-Perldoc": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Perldoc", "release": "4.el7", "source": "rpm", "version": "3.20" } ], "perl-Pod-Simple": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Simple", "release": "4.el7", "source": "rpm", "version": "3.28" } ], "perl-Pod-Usage": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Usage", "release": "3.el7", "source": "rpm", "version": "1.63" } ], "perl-Scalar-List-Utils": [ { "arch": "x86_64", "epoch": null, "name": "perl-Scalar-List-Utils", "release": "248.el7", "source": "rpm", "version": "1.27" } ], "perl-Socket": [ { "arch": "x86_64", "epoch": null, "name": "perl-Socket", "release": "5.el7", "source": "rpm", "version": "2.010" } ], "perl-Storable": [ { "arch": "x86_64", "epoch": null, "name": "perl-Storable", "release": "3.el7", "source": "rpm", "version": "2.45" } ], "perl-TermReadKey": [ { "arch": "x86_64", "epoch": null, "name": "perl-TermReadKey", "release": "20.el7", "source": "rpm", "version": "2.30" } ], "perl-Text-ParseWords": [ { "arch": "noarch", "epoch": null, "name": "perl-Text-ParseWords", "release": "4.el7", "source": "rpm", "version": "3.29" } ], "perl-Time-HiRes": [ { "arch": "x86_64", "epoch": 4, "name": "perl-Time-HiRes", "release": "3.el7", "source": "rpm", "version": "1.9725" } ], "perl-Time-Local": [ { "arch": "noarch", "epoch": null, "name": "perl-Time-Local", "release": "2.el7", "source": "rpm", "version": "1.2300" } ], "perl-TimeDate": [ { "arch": "noarch", "epoch": 1, "name": "perl-TimeDate", "release": "2.el7", "source": "rpm", "version": "2.30" } ], "perl-URI": [ { "arch": "noarch", "epoch": null, "name": "perl-URI", "release": "9.el7", "source": "rpm", "version": "1.60" } ], "perl-WWW-RobotRules": [ { "arch": "noarch", "epoch": null, "name": "perl-WWW-RobotRules", "release": "5.el7", "source": "rpm", "version": "6.02" } ], "perl-XML-LibXML": [ { "arch": "x86_64", "epoch": 1, "name": "perl-XML-LibXML", "release": "5.el7", "source": "rpm", "version": "2.0018" } ], "perl-XML-NamespaceSupport": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-NamespaceSupport", "release": "10.el7", "source": "rpm", "version": "1.11" } ], "perl-XML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-XML-Parser", "release": "10.el7", "source": "rpm", "version": "2.41" } ], "perl-XML-SAX": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX", "release": "9.el7", "source": "rpm", "version": "0.99" } ], "perl-XML-SAX-Base": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX-Base", "release": "7.el7", "source": "rpm", "version": "1.08" } ], "perl-XML-Twig": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-Twig", "release": "2.el7", "source": "rpm", "version": "3.44" } ], "perl-constant": [ { "arch": "noarch", "epoch": null, "name": "perl-constant", "release": "2.el7", "source": "rpm", "version": "1.27" } ], "perl-libs": [ { "arch": "x86_64", "epoch": 4, "name": "perl-libs", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-libwww-perl": [ { "arch": "noarch", "epoch": null, "name": "perl-libwww-perl", "release": "2.el7", "source": "rpm", "version": "6.05" } ], "perl-macros": [ { "arch": "x86_64", "epoch": 4, "name": "perl-macros", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-parent": [ { "arch": "noarch", "epoch": 1, "name": "perl-parent", "release": "244.el7", "source": "rpm", "version": "0.225" } ], "perl-podlators": [ { "arch": "noarch", "epoch": null, "name": "perl-podlators", "release": "3.el7", "source": "rpm", "version": "2.5.1" } ], "perl-threads": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads", "release": "4.el7", "source": "rpm", "version": "1.87" } ], "perl-threads-shared": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads-shared", "release": "6.el7", "source": "rpm", "version": "1.43" } ], "pinentry": [ { "arch": "x86_64", "epoch": null, "name": "pinentry", "release": "17.el7", "source": "rpm", "version": "0.8.1" } ], "pkgconfig": [ { "arch": "x86_64", "epoch": 1, "name": "pkgconfig", "release": "4.el7", "source": "rpm", "version": "0.27.1" } ], "plymouth-core-libs": [ { "arch": "x86_64", "epoch": null, "name": "plymouth-core-libs", "release": "0.34.20140113.el7.centos", "source": "rpm", "version": "0.8.9" } ], "policycoreutils": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "policycoreutils-python": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils-python", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "polkit": [ { "arch": "x86_64", "epoch": null, "name": "polkit", "release": "26.el7_9.1", "source": "rpm", "version": "0.112" } ], "polkit-pkla-compat": [ { "arch": "x86_64", "epoch": null, "name": "polkit-pkla-compat", "release": "4.el7", "source": "rpm", "version": "0.1" } ], "popt": [ { "arch": "x86_64", "epoch": null, "name": "popt", "release": "16.el7", "source": "rpm", "version": "1.13" } ], "postfix": [ { "arch": "x86_64", "epoch": 2, "name": "postfix", "release": "9.el7", "source": "rpm", "version": "2.10.1" } ], "procps-ng": [ { "arch": "x86_64", "epoch": null, "name": "procps-ng", "release": "28.el7", "source": "rpm", "version": "3.3.10" } ], "psmisc": [ { "arch": "x86_64", "epoch": null, "name": "psmisc", "release": "17.el7", "source": "rpm", "version": "22.20" } ], "pth": [ { "arch": "x86_64", "epoch": null, "name": "pth", "release": "23.el7", "source": "rpm", "version": "2.0.7" } ], "pygpgme": [ { "arch": "x86_64", "epoch": null, "name": "pygpgme", "release": "9.el7", "source": "rpm", "version": "0.3" } ], "pyliblzma": [ { "arch": "x86_64", "epoch": null, "name": "pyliblzma", "release": "11.el7", "source": "rpm", "version": "0.5.3" } ], "pyserial": [ { "arch": "noarch", "epoch": null, "name": "pyserial", "release": "6.el7", "source": "rpm", "version": "2.6" } ], "python": [ { "arch": "x86_64", "epoch": null, "name": "python", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-IPy": [ { "arch": "noarch", "epoch": null, "name": "python-IPy", "release": "6.el7", "source": "rpm", "version": "0.75" } ], "python-babel": [ { "arch": "noarch", "epoch": null, "name": "python-babel", "release": "8.el7", "source": "rpm", "version": "0.9.6" } ], "python-backports": [ { "arch": "x86_64", "epoch": null, "name": "python-backports", "release": "8.el7", "source": "rpm", "version": "1.0" } ], "python-backports-ssl_match_hostname": [ { "arch": "noarch", "epoch": null, "name": "python-backports-ssl_match_hostname", "release": "1.el7", "source": "rpm", "version": "3.5.0.1" } ], "python-chardet": [ { "arch": "noarch", "epoch": null, "name": "python-chardet", "release": "3.el7", "source": "rpm", "version": "2.2.1" } ], "python-configobj": [ { "arch": "noarch", "epoch": null, "name": "python-configobj", "release": "7.el7", "source": "rpm", "version": "4.7.2" } ], "python-decorator": [ { "arch": "noarch", "epoch": null, "name": "python-decorator", "release": "3.el7", "source": "rpm", "version": "3.4.0" } ], "python-deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "python-deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "python-enum34": [ { "arch": "noarch", "epoch": null, "name": "python-enum34", "release": "1.el7", "source": "rpm", "version": "1.0.4" } ], "python-firewall": [ { "arch": "noarch", "epoch": null, "name": "python-firewall", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "python-gobject-base": [ { "arch": "x86_64", "epoch": null, "name": "python-gobject-base", "release": "1.el7_4.1", "source": "rpm", "version": "3.22.0" } ], "python-iniparse": [ { "arch": "noarch", "epoch": null, "name": "python-iniparse", "release": "9.el7", "source": "rpm", "version": "0.4" } ], "python-ipaddress": [ { "arch": "noarch", "epoch": null, "name": "python-ipaddress", "release": "2.el7", "source": "rpm", "version": "1.0.16" } ], "python-jinja2": [ { "arch": "noarch", "epoch": null, "name": "python-jinja2", "release": "4.el7", "source": "rpm", "version": "2.7.2" } ], "python-jsonpatch": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpatch", "release": "4.el7", "source": "rpm", "version": "1.2" } ], "python-jsonpointer": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpointer", "release": "2.el7", "source": "rpm", "version": "1.9" } ], "python-kitchen": [ { "arch": "noarch", "epoch": null, "name": "python-kitchen", "release": "5.el7", "source": "rpm", "version": "1.1.1" } ], "python-libs": [ { "arch": "x86_64", "epoch": null, "name": "python-libs", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-linux-procfs": [ { "arch": "noarch", "epoch": null, "name": "python-linux-procfs", "release": "4.el7", "source": "rpm", "version": "0.4.11" } ], "python-lxml": [ { "arch": "x86_64", "epoch": null, "name": "python-lxml", "release": "4.el7", "source": "rpm", "version": "3.2.1" } ], "python-markupsafe": [ { "arch": "x86_64", "epoch": null, "name": "python-markupsafe", "release": "10.el7", "source": "rpm", "version": "0.11" } ], "python-perf": [ { "arch": "x86_64", "epoch": null, "name": "python-perf", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "python-prettytable": [ { "arch": "noarch", "epoch": null, "name": "python-prettytable", "release": "3.el7", "source": "rpm", "version": "0.7.2" } ], "python-pycurl": [ { "arch": "x86_64", "epoch": null, "name": "python-pycurl", "release": "19.el7", "source": "rpm", "version": "7.19.0" } ], "python-pyudev": [ { "arch": "noarch", "epoch": null, "name": "python-pyudev", "release": "9.el7", "source": "rpm", "version": "0.15" } ], "python-requests": [ { "arch": "noarch", "epoch": null, "name": "python-requests", "release": "10.el7", "source": "rpm", "version": "2.6.0" } ], "python-schedutils": [ { "arch": "x86_64", "epoch": null, "name": "python-schedutils", "release": "6.el7", "source": "rpm", "version": "0.4" } ], "python-setuptools": [ { "arch": "noarch", "epoch": null, "name": "python-setuptools", "release": "7.el7", "source": "rpm", "version": "0.9.8" } ], "python-six": [ { "arch": "noarch", "epoch": null, "name": "python-six", "release": "2.el7", "source": "rpm", "version": "1.9.0" } ], "python-slip": [ { "arch": "noarch", "epoch": null, "name": "python-slip", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-slip-dbus": [ { "arch": "noarch", "epoch": null, "name": "python-slip-dbus", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-urlgrabber": [ { "arch": "noarch", "epoch": null, "name": "python-urlgrabber", "release": "10.el7", "source": "rpm", "version": "3.10" } ], "python-urllib3": [ { "arch": "noarch", "epoch": null, "name": "python-urllib3", "release": "7.el7", "source": "rpm", "version": "1.10.2" } ], "python2-dnf": [ { "arch": "noarch", "epoch": null, "name": "python2-dnf", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "python2-hawkey": [ { "arch": "x86_64", "epoch": null, "name": "python2-hawkey", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "python2-libcomps": [ { "arch": "x86_64", "epoch": null, "name": "python2-libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "python2-libdnf": [ { "arch": "x86_64", "epoch": null, "name": "python2-libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "pyxattr": [ { "arch": "x86_64", "epoch": null, "name": "pyxattr", "release": "5.el7", "source": "rpm", "version": "0.5.1" } ], "qa-tools": [ { "arch": "noarch", "epoch": null, "name": "qa-tools", "release": "4.el7_9", "source": "rpm", "version": "4.1" } ], "qemu-guest-agent": [ { "arch": "x86_64", "epoch": 10, "name": "qemu-guest-agent", "release": "3.el7", "source": "rpm", "version": "2.12.0" } ], "qrencode-libs": [ { "arch": "x86_64", "epoch": null, "name": "qrencode-libs", "release": "3.el7", "source": "rpm", "version": "3.4.1" } ], "quota": [ { "arch": "x86_64", "epoch": 1, "name": "quota", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "quota-nls": [ { "arch": "noarch", "epoch": 1, "name": "quota-nls", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "readline": [ { "arch": "x86_64", "epoch": null, "name": "readline", "release": "11.el7", "source": "rpm", "version": "6.2" } ], "restraint": [ { "arch": "x86_64", "epoch": null, "name": "restraint", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "restraint-rhts": [ { "arch": "x86_64", "epoch": null, "name": "restraint-rhts", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "rng-tools": [ { "arch": "x86_64", "epoch": null, "name": "rng-tools", "release": "5.el7", "source": "rpm", "version": "6.3.1" } ], "rootfiles": [ { "arch": "noarch", "epoch": null, "name": "rootfiles", "release": "11.el7", "source": "rpm", "version": "8.1" } ], "rpcbind": [ { "arch": "x86_64", "epoch": null, "name": "rpcbind", "release": "49.el7", "source": "rpm", "version": "0.2.0" } ], "rpm": [ { "arch": "x86_64", "epoch": null, "name": "rpm", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-build-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-build-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-python": [ { "arch": "x86_64", "epoch": null, "name": "rpm-python", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rsync": [ { "arch": "x86_64", "epoch": null, "name": "rsync", "release": "12.el7_9", "source": "rpm", "version": "3.1.2" } ], "rsyslog": [ { "arch": "x86_64", "epoch": null, "name": "rsyslog", "release": "57.el7_9.3", "source": "rpm", "version": "8.24.0" } ], "ruby": [ { "arch": "x86_64", "epoch": null, "name": "ruby", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-irb": [ { "arch": "noarch", "epoch": null, "name": "ruby-irb", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-libs": [ { "arch": "x86_64", "epoch": null, "name": "ruby-libs", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "rubygem-bigdecimal": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-bigdecimal", "release": "39.el7_9", "source": "rpm", "version": "1.2.0" } ], "rubygem-io-console": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-io-console", "release": "39.el7_9", "source": "rpm", "version": "0.4.2" } ], "rubygem-json": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-json", "release": "39.el7_9", "source": "rpm", "version": "1.7.7" } ], "rubygem-psych": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-psych", "release": "39.el7_9", "source": "rpm", "version": "2.0.0" } ], "rubygem-rdoc": [ { "arch": "noarch", "epoch": null, "name": "rubygem-rdoc", "release": "39.el7_9", "source": "rpm", "version": "4.0.0" } ], "rubygems": [ { "arch": "noarch", "epoch": null, "name": "rubygems", "release": "39.el7_9", "source": "rpm", "version": "2.0.14.1" } ], "sed": [ { "arch": "x86_64", "epoch": null, "name": "sed", "release": "7.el7", "source": "rpm", "version": "4.2.2" } ], "selinux-policy": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "selinux-policy-targeted": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy-targeted", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "setools-libs": [ { "arch": "x86_64", "epoch": null, "name": "setools-libs", "release": "4.el7", "source": "rpm", "version": "3.3.8" } ], "setup": [ { "arch": "noarch", "epoch": null, "name": "setup", "release": "11.el7", "source": "rpm", "version": "2.8.71" } ], "sg3_utils": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "sg3_utils-libs": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils-libs", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "shadow-utils": [ { "arch": "x86_64", "epoch": 2, "name": "shadow-utils", "release": "5.el7", "source": "rpm", "version": "4.6" } ], "shared-mime-info": [ { "arch": "x86_64", "epoch": null, "name": "shared-mime-info", "release": "5.el7", "source": "rpm", "version": "1.8" } ], "slang": [ { "arch": "x86_64", "epoch": null, "name": "slang", "release": "11.el7", "source": "rpm", "version": "2.2.4" } ], "snappy": [ { "arch": "x86_64", "epoch": null, "name": "snappy", "release": "3.el7", "source": "rpm", "version": "1.1.0" } ], "sqlite": [ { "arch": "x86_64", "epoch": null, "name": "sqlite", "release": "8.el7_7.1", "source": "rpm", "version": "3.7.17" } ], "strace": [ { "arch": "x86_64", "epoch": null, "name": "strace", "release": "7.el7_9", "source": "rpm", "version": "4.24" } ], "sudo": [ { "arch": "x86_64", "epoch": null, "name": "sudo", "release": "10.el7_9.3", "source": "rpm", "version": "1.8.23" } ], "systemd": [ { "arch": "x86_64", "epoch": null, "name": "systemd", "release": "78.el7_9.9", "source": "rpm", "version": "219" } ], "systemd-libs": [ { "arch": "x86_64", "epoch": null, "name": "systemd-libs", "release": "78.el7_9.9", "source": "rpm", "version": "219" } ], "systemd-sysv": [ { "arch": "x86_64", "epoch": null, "name": "systemd-sysv", "release": "78.el7_9.9", "source": "rpm", "version": "219" } ], "systemtap": [ { "arch": "x86_64", "epoch": null, "name": "systemtap", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-client": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-client", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-devel": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-devel", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-runtime": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-runtime", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "sysvinit-tools": [ { "arch": "x86_64", "epoch": null, "name": "sysvinit-tools", "release": "14.dsf.el7", "source": "rpm", "version": "2.88" } ], "tar": [ { "arch": "x86_64", "epoch": 2, "name": "tar", "release": "35.el7", "source": "rpm", "version": "1.26" } ], "tcp_wrappers": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "tcp_wrappers-libs": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers-libs", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "teamd": [ { "arch": "x86_64", "epoch": null, "name": "teamd", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "time": [ { "arch": "x86_64", "epoch": null, "name": "time", "release": "45.el7", "source": "rpm", "version": "1.7" } ], "tps-devel": [ { "arch": "noarch", "epoch": null, "name": "tps-devel", "release": "1", "source": "rpm", "version": "2.44.50" } ], "tuned": [ { "arch": "noarch", "epoch": null, "name": "tuned", "release": "12.el7_9", "source": "rpm", "version": "2.11.0" } ], "tzdata": [ { "arch": "noarch", "epoch": null, "name": "tzdata", "release": "1.el7", "source": "rpm", "version": "2024a" } ], "unzip": [ { "arch": "x86_64", "epoch": null, "name": "unzip", "release": "24.el7_9", "source": "rpm", "version": "6.0" } ], "ustr": [ { "arch": "x86_64", "epoch": null, "name": "ustr", "release": "16.el7", "source": "rpm", "version": "1.0.4" } ], "util-linux": [ { "arch": "x86_64", "epoch": null, "name": "util-linux", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "vim-common": [ { "arch": "x86_64", "epoch": 2, "name": "vim-common", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-enhanced": [ { "arch": "x86_64", "epoch": 2, "name": "vim-enhanced", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-filesystem": [ { "arch": "x86_64", "epoch": 2, "name": "vim-filesystem", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-minimal": [ { "arch": "x86_64", "epoch": 2, "name": "vim-minimal", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "virt-what": [ { "arch": "x86_64", "epoch": null, "name": "virt-what", "release": "4.el7_9.1", "source": "rpm", "version": "1.18" } ], "wget": [ { "arch": "x86_64", "epoch": null, "name": "wget", "release": "18.el7_6.1", "source": "rpm", "version": "1.14" } ], "which": [ { "arch": "x86_64", "epoch": null, "name": "which", "release": "7.el7", "source": "rpm", "version": "2.20" } ], "wpa_supplicant": [ { "arch": "x86_64", "epoch": 1, "name": "wpa_supplicant", "release": "12.el7_9.2", "source": "rpm", "version": "2.6" } ], "xfsprogs": [ { "arch": "x86_64", "epoch": null, "name": "xfsprogs", "release": "22.el7", "source": "rpm", "version": "4.5.0" } ], "xz": [ { "arch": "x86_64", "epoch": null, "name": "xz", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "xz-libs": [ { "arch": "x86_64", "epoch": null, "name": "xz-libs", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "yum": [ { "arch": "noarch", "epoch": null, "name": "yum", "release": "168.el7.centos", "source": "rpm", "version": "3.4.3" } ], "yum-metadata-parser": [ { "arch": "x86_64", "epoch": null, "name": "yum-metadata-parser", "release": "10.el7", "source": "rpm", "version": "1.1.4" } ], "yum-plugin-fastestmirror": [ { "arch": "noarch", "epoch": null, "name": "yum-plugin-fastestmirror", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "yum-utils": [ { "arch": "noarch", "epoch": null, "name": "yum-utils", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "zip": [ { "arch": "x86_64", "epoch": null, "name": "zip", "release": "11.el7", "source": "rpm", "version": "3.0" } ], "zlib": [ { "arch": "x86_64", "epoch": null, "name": "zlib", "release": "21.el7_9", "source": "rpm", "version": "1.2.7" } ] } }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog version] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:48 Saturday 24 February 2024 08:47:02 +0000 (0:00:00.978) 0:00:40.424 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_version": "8.24.0" }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 1] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:55 Saturday 24 February 2024 08:47:02 +0000 (0:00:00.037) 0:00:40.462 ***** ok: [sut] => {} MSG: Rsyslog_version is 8.24.0, which is older than "8.37.0-7.2". TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 2] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:60 Saturday 24 February 2024 08:47:02 +0000 (0:00:00.022) 0:00:40.485 ***** skipping: [sut] => { "false_condition": "__rsyslog_version is version('8.37.0-7.2', '>')" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set __rsyslog_failed_validation] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:68 Saturday 24 February 2024 08:47:02 +0000 (0:00:00.023) 0:00:40.508 ***** skipping: [sut] => { "changed": false, "false_condition": "rsyslog_in_image | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create the config directory if it does not exist] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:76 Saturday 24 February 2024 08:47:02 +0000 (0:00:00.026) 0:00:40.535 ***** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/etc/rsyslog.d", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create a work directory] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:83 Saturday 24 February 2024 08:47:02 +0000 (0:00:00.202) 0:00:40.737 ***** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0700", "owner": "root", "path": "/var/lib/rsyslog", "secontext": "system_u:object_r:syslogd_var_lib_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create logging directory if it does not exist or the ownership and/or modes are different.] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:89 Saturday 24 February 2024 08:47:02 +0000 (0:00:00.149) 0:00:40.887 ***** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/var/log", "secontext": "system_u:object_r:var_log_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate global rule to add to __rsyslog_common_rules] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:97 Saturday 24 February 2024 08:47:02 +0000 (0:00:00.151) 0:00:41.038 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_common_rules": [ { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate common rsyslog configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:120 Saturday 24 February 2024 08:47:02 +0000 (0:00:00.066) 0:00:41.105 ***** changed: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "state": "file", "uid": 0 } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } changed: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Initialize list of template results] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:144 Saturday 24 February 2024 08:47:03 +0000 (0:00:00.743) 0:00:41.848 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove common config files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:148 Saturday 24 February 2024 08:47:03 +0000 (0:00:00.030) 0:00:41.878 ***** skipping: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include input sub-vars] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:166 Saturday 24 February 2024 08:47:03 +0000 (0:00:00.035) 0:00:41.914 ***** ok: [sut] => (item={'name': 'basic_input', 'type': 'basics', 'ratelimit_burst': 33333}) => { "ansible_facts": { "__rsyslog_basics_packages": [] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml" ], "ansible_loop_var": "input_item", "changed": false, "input_item": { "name": "basic_input", "ratelimit_burst": 33333, "type": "basics" } } ok: [sut] => (item={'name': 'files_input', 'type': 'files', 'input_log_path': '/var/log/inputdirectory/*.log'}) => { "ansible_facts": { "__rsyslog_conf_imfile_modules": [ { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" } ], "__rsyslog_files_input_packages": [], "__rsyslog_files_input_rules": [ "{{ __rsyslog_conf_imfile_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml" ], "ansible_loop_var": "input_item", "changed": false, "input_item": { "input_log_path": "/var/log/inputdirectory/*.log", "name": "files_input", "type": "files" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run input sub-tasks] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:177 Saturday 24 February 2024 08:47:03 +0000 (0:00:00.038) 0:00:41.952 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/basics/main.yml for sut => (item={'name': 'basic_input', 'type': 'basics', 'ratelimit_burst': 33333}) included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/files/main.yml for sut => (item={'name': 'files_input', 'type': 'files', 'input_log_path': '/var/log/inputdirectory/*.log'}) TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update basics input packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/basics/main.yml:3 Saturday 24 February 2024 08:47:03 +0000 (0:00:00.056) 0:00:42.009 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:03 +0000 (0:00:00.039) 0:00:42.049 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:03 +0000 (0:00:00.030) 0:00:42.079 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:03 +0000 (0:00:00.024) 0:00:42.103 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:03 +0000 (0:00:00.036) 0:00:42.139 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create basics input configuration file /etc/rsyslog.d/input-basics-basic_input] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/basics/main.yml:10 Saturday 24 February 2024 08:47:03 +0000 (0:00:00.025) 0:00:42.165 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:03 +0000 (0:00:00.045) 0:00:42.210 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:03 +0000 (0:00:00.026) 0:00:42.237 ***** changed: [sut] => (item={'name': 'input-basics-basic_input', 'type': 'input', 'state': 'present', 'sections': [{'options': '$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test0\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test1\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call forwards_facility_only\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.0421379-3594-232232335433127/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:04 +0000 (0:00:00.506) 0:00:42.744 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.0421379-3594-232232335433127/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.0421379-3594-232232335433127/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:04 +0000 (0:00:00.036) 0:00:42.780 ***** skipping: [sut] => (item={'name': 'input-basics-basic_input', 'type': 'input', 'state': 'present', 'sections': [{'options': '$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test0\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test1\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call forwards_facility_only\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update files input packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/files/main.yml:3 Saturday 24 February 2024 08:47:04 +0000 (0:00:00.137) 0:00:42.918 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:04 +0000 (0:00:00.076) 0:00:42.994 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:04 +0000 (0:00:00.028) 0:00:43.023 ***** changed: [sut] => (item={'name': 'input-files-modules', 'type': 'modules', 'sections': [{'comment': 'Reads log messages from file', 'options': 'module(load="imfile" mode="inotify")'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.7350497-3623-194557349602267/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:05 +0000 (0:00:00.387) 0:00:43.411 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.0421379-3594-232232335433127/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.0421379-3594-232232335433127/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.7350497-3623-194557349602267/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.7350497-3623-194557349602267/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:05 +0000 (0:00:00.036) 0:00:43.447 ***** skipping: [sut] => (item={'name': 'input-files-modules', 'type': 'modules', 'sections': [{'comment': 'Reads log messages from file', 'options': 'module(load="imfile" mode="inotify")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create files input configuration file /etc/rsyslog.d/input-files-files_input] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/files/main.yml:12 Saturday 24 February 2024 08:47:05 +0000 (0:00:00.043) 0:00:43.491 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:05 +0000 (0:00:00.047) 0:00:43.538 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:05 +0000 (0:00:00.033) 0:00:43.571 ***** changed: [sut] => (item={'name': 'input-files-files_input', 'type': 'input', 'state': 'present', 'sections': [{'options': 'input(\n type="imfile"\n file="/var/log/inputdirectory/*.log"\n tag="files_input"\n)\nif\n ($syslogtag == "files_input")\n then {\n call files_test0\n}\nif\n ($syslogtag == "files_input")\n then {\n call files_test1\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1708764425.3574758-3650-34318125589584/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:05 +0000 (0:00:00.469) 0:00:44.041 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.0421379-3594-232232335433127/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.0421379-3594-232232335433127/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.7350497-3623-194557349602267/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.7350497-3623-194557349602267/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764425.3574758-3650-34318125589584/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1708764425.3574758-3650-34318125589584/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:05 +0000 (0:00:00.040) 0:00:44.082 ***** skipping: [sut] => (item={'name': 'input-files-files_input', 'type': 'input', 'state': 'present', 'sections': [{'options': 'input(\n type="imfile"\n file="/var/log/inputdirectory/*.log"\n tag="files_input"\n)\nif\n ($syslogtag == "files_input")\n then {\n call files_test0\n}\nif\n ($syslogtag == "files_input")\n then {\n call files_test1\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include output sub-vars] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:192 Saturday 24 February 2024 08:47:05 +0000 (0:00:00.096) 0:00:44.178 ***** ok: [sut] => (item={'name': 'files_test0', 'type': 'files', 'severity': 'info', 'exclude': ['authpriv.none', 'auth.none', 'cron.none', 'mail.none'], 'path': '/var/log/messages'}) => { "ansible_facts": { "__rsyslog_conf_files_output_modules": [ { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_files_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_TraditionalFileFormat\")\n{% elif logging_files_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfile\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_files_output_packages": [], "__rsyslog_files_output_rules": [ "{{ __rsyslog_conf_files_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "exclude": [ "authpriv.none", "auth.none", "cron.none", "mail.none" ], "name": "files_test0", "path": "/var/log/messages", "severity": "info", "type": "files" } } ok: [sut] => (item={'name': 'files_test1', 'type': 'files', 'facility': 'authpriv,auth', 'path': '/var/log/secure'}) => { "ansible_facts": { "__rsyslog_conf_files_output_modules": [ { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_files_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_TraditionalFileFormat\")\n{% elif logging_files_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfile\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_files_output_packages": [], "__rsyslog_files_output_rules": [ "{{ __rsyslog_conf_files_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "facility": "authpriv,auth", "name": "files_test1", "path": "/var/log/secure", "type": "files" } } ok: [sut] => (item={'name': 'forwards_severity_and_facility', 'type': 'forwards', 'facility': 'local1', 'severity': 'info', 'target': 'host.domain', 'tcp_port': 1514}) => { "ansible_facts": { "__rsyslog_conf_forwards_output_modules": [ { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_forwards_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_TraditionalForwardFormat\")\n{% elif logging_forwards_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfwd\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_forwards_output_packages": [], "__rsyslog_forwards_output_rules": [ "{{ __rsyslog_conf_forwards_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "facility": "local1", "name": "forwards_severity_and_facility", "severity": "info", "target": "host.domain", "tcp_port": 1514, "type": "forwards" } } ok: [sut] => (item={'name': 'forwards_facility_only', 'type': 'forwards', 'facility': 'local2', 'target': 'host.domain', 'tcp_port': 2514}) => { "ansible_facts": { "__rsyslog_conf_forwards_output_modules": [ { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_forwards_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_TraditionalForwardFormat\")\n{% elif logging_forwards_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfwd\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_forwards_output_packages": [], "__rsyslog_forwards_output_rules": [ "{{ __rsyslog_conf_forwards_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "facility": "local2", "name": "forwards_facility_only", "target": "host.domain", "tcp_port": 2514, "type": "forwards" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run output sub-tasks] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:204 Saturday 24 February 2024 08:47:05 +0000 (0:00:00.068) 0:00:44.247 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml for sut => (item={'name': 'files_test0', 'type': 'files', 'severity': 'info', 'exclude': ['authpriv.none', 'auth.none', 'cron.none', 'mail.none'], 'path': '/var/log/messages'}) included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml for sut => (item={'name': 'files_test1', 'type': 'files', 'facility': 'authpriv,auth', 'path': '/var/log/secure'}) included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml for sut => (item={'name': 'forwards_severity_and_facility', 'type': 'forwards', 'facility': 'local1', 'severity': 'info', 'target': 'host.domain', 'tcp_port': 1514}) included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml for sut => (item={'name': 'forwards_facility_only', 'type': 'forwards', 'facility': 'local2', 'target': 'host.domain', 'tcp_port': 2514}) TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update files output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:3 Saturday 24 February 2024 08:47:05 +0000 (0:00:00.063) 0:00:44.311 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:06 +0000 (0:00:00.053) 0:00:44.364 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:06 +0000 (0:00:00.031) 0:00:44.395 ***** changed: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.1084077-3681-94151100634754/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:06 +0000 (0:00:00.386) 0:00:44.782 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.0421379-3594-232232335433127/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.0421379-3594-232232335433127/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.7350497-3623-194557349602267/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.7350497-3623-194557349602267/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764425.3574758-3650-34318125589584/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1708764425.3574758-3650-34318125589584/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.1084077-3681-94151100634754/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.1084077-3681-94151100634754/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:06 +0000 (0:00:00.045) 0:00:44.827 ***** skipping: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create files output configuration file /etc/rsyslog.d/output-files-files_test0] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:11 Saturday 24 February 2024 08:47:06 +0000 (0:00:00.038) 0:00:44.865 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:06 +0000 (0:00:00.102) 0:00:44.967 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:06 +0000 (0:00:00.026) 0:00:44.993 ***** changed: [sut] => (item={'name': 'output-files-files_test0', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test0") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.738338-3701-38656065141919/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:07 +0000 (0:00:00.441) 0:00:45.435 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.0421379-3594-232232335433127/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.0421379-3594-232232335433127/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.7350497-3623-194557349602267/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.7350497-3623-194557349602267/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764425.3574758-3650-34318125589584/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1708764425.3574758-3650-34318125589584/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.1084077-3681-94151100634754/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.1084077-3681-94151100634754/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.738338-3701-38656065141919/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.738338-3701-38656065141919/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:07 +0000 (0:00:00.039) 0:00:45.475 ***** skipping: [sut] => (item={'name': 'output-files-files_test0', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test0") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update files output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:3 Saturday 24 February 2024 08:47:07 +0000 (0:00:00.073) 0:00:45.548 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:07 +0000 (0:00:00.041) 0:00:45.590 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:07 +0000 (0:00:00.031) 0:00:45.622 ***** ok: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:07 +0000 (0:00:00.361) 0:00:45.983 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.0421379-3594-232232335433127/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.0421379-3594-232232335433127/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.7350497-3623-194557349602267/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.7350497-3623-194557349602267/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764425.3574758-3650-34318125589584/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1708764425.3574758-3650-34318125589584/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.1084077-3681-94151100634754/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.1084077-3681-94151100634754/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.738338-3701-38656065141919/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.738338-3701-38656065141919/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:07 +0000 (0:00:00.040) 0:00:46.023 ***** skipping: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create files output configuration file /etc/rsyslog.d/output-files-files_test1] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:11 Saturday 24 February 2024 08:47:07 +0000 (0:00:00.038) 0:00:46.062 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:07 +0000 (0:00:00.050) 0:00:46.113 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:07 +0000 (0:00:00.028) 0:00:46.141 ***** changed: [sut] => (item={'name': 'output-files-files_test1', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test1") {\n authpriv,auth.* /var/log/secure\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1708764427.8865871-3738-68534750452190/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:08 +0000 (0:00:00.417) 0:00:46.558 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.0421379-3594-232232335433127/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.0421379-3594-232232335433127/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.7350497-3623-194557349602267/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.7350497-3623-194557349602267/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764425.3574758-3650-34318125589584/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1708764425.3574758-3650-34318125589584/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.1084077-3681-94151100634754/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.1084077-3681-94151100634754/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.738338-3701-38656065141919/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.738338-3701-38656065141919/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764427.8865871-3738-68534750452190/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1708764427.8865871-3738-68534750452190/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:08 +0000 (0:00:00.036) 0:00:46.595 ***** skipping: [sut] => (item={'name': 'output-files-files_test1', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test1") {\n authpriv,auth.* /var/log/secure\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update forwards output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:3 Saturday 24 February 2024 08:47:08 +0000 (0:00:00.078) 0:00:46.674 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:08 +0000 (0:00:00.039) 0:00:46.714 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:08 +0000 (0:00:00.033) 0:00:46.747 ***** changed: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1708764428.4560902-3751-224007268610565/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:08 +0000 (0:00:00.379) 0:00:47.127 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.0421379-3594-232232335433127/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.0421379-3594-232232335433127/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.7350497-3623-194557349602267/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.7350497-3623-194557349602267/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764425.3574758-3650-34318125589584/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1708764425.3574758-3650-34318125589584/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.1084077-3681-94151100634754/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.1084077-3681-94151100634754/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.738338-3701-38656065141919/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.738338-3701-38656065141919/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764427.8865871-3738-68534750452190/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1708764427.8865871-3738-68534750452190/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "content": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764428.4560902-3751-224007268610565/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1708764428.4560902-3751-224007268610565/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:08 +0000 (0:00:00.038) 0:00:47.165 ***** skipping: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create forwards output configuration file /etc/rsyslog.d/output-forwards-forwards_severity_and_facility] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:10 Saturday 24 February 2024 08:47:08 +0000 (0:00:00.070) 0:00:47.235 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:08 +0000 (0:00:00.041) 0:00:47.277 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:08 +0000 (0:00:00.026) 0:00:47.303 ***** changed: [sut] => (item={'name': 'output-forwards-forwards_severity_and_facility', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="forwards_severity_and_facility") {\n local1.info action(name="forwards_severity_and_facility"\n type="omfwd"\n Target="host.domain"\n Port="1514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "md5sum": "68860f71a2d42c2feaf329e9a7e50bfd", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 299, "src": "/root/.ansible/tmp/ansible-tmp-1708764429.05002-3764-208023967314916/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:09 +0000 (0:00:00.410) 0:00:47.714 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.0421379-3594-232232335433127/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.0421379-3594-232232335433127/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.7350497-3623-194557349602267/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.7350497-3623-194557349602267/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764425.3574758-3650-34318125589584/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1708764425.3574758-3650-34318125589584/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.1084077-3681-94151100634754/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.1084077-3681-94151100634754/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.738338-3701-38656065141919/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.738338-3701-38656065141919/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764427.8865871-3738-68534750452190/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1708764427.8865871-3738-68534750452190/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "content": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764428.4560902-3751-224007268610565/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1708764428.4560902-3751-224007268610565/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "content": null, "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764429.05002-3764-208023967314916/source", "unsafe_writes": false, "validate": null } }, "md5sum": "68860f71a2d42c2feaf329e9a7e50bfd", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 299, "src": "/root/.ansible/tmp/ansible-tmp-1708764429.05002-3764-208023967314916/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:09 +0000 (0:00:00.039) 0:00:47.753 ***** skipping: [sut] => (item={'name': 'output-forwards-forwards_severity_and_facility', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="forwards_severity_and_facility") {\n local1.info action(name="forwards_severity_and_facility"\n type="omfwd"\n Target="host.domain"\n Port="1514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update forwards output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:3 Saturday 24 February 2024 08:47:09 +0000 (0:00:00.078) 0:00:47.831 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:09 +0000 (0:00:00.046) 0:00:47.877 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:09 +0000 (0:00:00.033) 0:00:47.911 ***** ok: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:09 +0000 (0:00:00.364) 0:00:48.275 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.0421379-3594-232232335433127/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.0421379-3594-232232335433127/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.7350497-3623-194557349602267/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.7350497-3623-194557349602267/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764425.3574758-3650-34318125589584/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1708764425.3574758-3650-34318125589584/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.1084077-3681-94151100634754/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.1084077-3681-94151100634754/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.738338-3701-38656065141919/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.738338-3701-38656065141919/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764427.8865871-3738-68534750452190/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1708764427.8865871-3738-68534750452190/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "content": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764428.4560902-3751-224007268610565/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1708764428.4560902-3751-224007268610565/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "content": null, "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764429.05002-3764-208023967314916/source", "unsafe_writes": false, "validate": null } }, "md5sum": "68860f71a2d42c2feaf329e9a7e50bfd", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 299, "src": "/root/.ansible/tmp/ansible-tmp-1708764429.05002-3764-208023967314916/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:09 +0000 (0:00:00.042) 0:00:48.318 ***** skipping: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create forwards output configuration file /etc/rsyslog.d/output-forwards-forwards_facility_only] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:10 Saturday 24 February 2024 08:47:10 +0000 (0:00:00.032) 0:00:48.350 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:10 +0000 (0:00:00.039) 0:00:48.390 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:10 +0000 (0:00:00.026) 0:00:48.416 ***** changed: [sut] => (item={'name': 'output-forwards-forwards_facility_only', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="forwards_facility_only") {\n local2.* action(name="forwards_facility_only"\n type="omfwd"\n Target="host.domain"\n Port="2514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ac42e1dea4e03f676f11b40c4fdf9eee8625a0ee", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_facility_only", "sections": [ { "options": "ruleset(name=\"forwards_facility_only\") {\n local2.* action(name=\"forwards_facility_only\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"2514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "md5sum": "6323e0bec496fa375d0c070440930f93", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 280, "src": "/root/.ansible/tmp/ansible-tmp-1708764430.1701412-3787-185854333655068/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:10 +0000 (0:00:00.434) 0:00:48.851 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764422.8140354-3547-79843524321637/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764423.1757832-3547-235279798217182/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.0421379-3594-232232335433127/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.0421379-3594-232232335433127/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.7350497-3623-194557349602267/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764424.7350497-3623-194557349602267/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764425.3574758-3650-34318125589584/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1708764425.3574758-3650-34318125589584/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.1084077-3681-94151100634754/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.1084077-3681-94151100634754/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.738338-3701-38656065141919/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1708764426.738338-3701-38656065141919/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764427.8865871-3738-68534750452190/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1708764427.8865871-3738-68534750452190/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "content": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764428.4560902-3751-224007268610565/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1708764428.4560902-3751-224007268610565/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "content": null, "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764429.05002-3764-208023967314916/source", "unsafe_writes": false, "validate": null } }, "md5sum": "68860f71a2d42c2feaf329e9a7e50bfd", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 299, "src": "/root/.ansible/tmp/ansible-tmp-1708764429.05002-3764-208023967314916/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ac42e1dea4e03f676f11b40c4fdf9eee8625a0ee", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_facility_only", "sections": [ { "options": "ruleset(name=\"forwards_facility_only\") {\n local2.* action(name=\"forwards_facility_only\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"2514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "ac42e1dea4e03f676f11b40c4fdf9eee8625a0ee", "content": null, "dest": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764430.1701412-3787-185854333655068/source", "unsafe_writes": false, "validate": null } }, "md5sum": "6323e0bec496fa375d0c070440930f93", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 280, "src": "/root/.ansible/tmp/ansible-tmp-1708764430.1701412-3787-185854333655068/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:10 +0000 (0:00:00.042) 0:00:48.894 ***** skipping: [sut] => (item={'name': 'output-forwards-forwards_facility_only', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="forwards_facility_only") {\n local2.* action(name="forwards_facility_only"\n type="omfwd"\n Target="host.domain"\n Port="2514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-forwards_facility_only", "sections": [ { "options": "ruleset(name=\"forwards_facility_only\") {\n local2.* action(name=\"forwards_facility_only\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"2514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog config files not owned by any package] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:217 Saturday 24 February 2024 08:47:10 +0000 (0:00:00.073) 0:00:48.968 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Purge - remove files not generated by current state] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:234 Saturday 24 February 2024 08:47:10 +0000 (0:00:00.026) 0:00:48.994 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy custom config files if they are specified in rsyslog_custom_config_files variable array.] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:254 Saturday 24 February 2024 08:47:10 +0000 (0:00:00.032) 0:00:49.027 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check tls is enabled in forwards output or remote input and logging_pki_files] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:275 Saturday 24 February 2024 08:47:10 +0000 (0:00:00.022) 0:00:49.050 ***** skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local ca_cert file to the target if needed] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:283 Saturday 24 February 2024 08:47:10 +0000 (0:00:00.038) 0:00:49.088 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local cert file to the target if needed] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:295 Saturday 24 February 2024 08:47:10 +0000 (0:00:00.108) 0:00:49.197 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local key file to the target if needed] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:307 Saturday 24 February 2024 08:47:10 +0000 (0:00:00.033) 0:00:49.230 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Enable rsyslog service] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:319 Saturday 24 February 2024 08:47:10 +0000 (0:00:00.034) 0:00:49.264 ***** ok: [sut] => { "changed": false, "enabled": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestamp": "Sat 2024-02-24 08:46:58 UTC", "ActiveEnterTimestampMonotonic": "1234812403", "ActiveExitTimestamp": "Sat 2024-02-24 08:46:58 UTC", "ActiveExitTimestampMonotonic": "1234801356", "ActiveState": "active", "After": "system.slice network-online.target network.target basic.target", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "yes", "AssertTimestamp": "Sat 2024-02-24 08:46:58 UTC", "AssertTimestampMonotonic": "1234806285", "Before": "multi-user.target shutdown.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "yes", "ConditionTimestamp": "Sat 2024-02-24 08:46:58 UTC", "ConditionTimestampMonotonic": "1234806284", "Conflicts": "shutdown.target", "ControlGroup": "/system.slice/rsyslog.service", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "13123", "ExecMainStartTimestamp": "Sat 2024-02-24 08:46:58 UTC", "ExecMainStartTimestampMonotonic": "1234807088", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[Sat 2024-02-24 08:46:58 UTC] ; stop_time=[n/a] ; pid=13123 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestamp": "Sat 2024-02-24 08:46:58 UTC", "InactiveEnterTimestampMonotonic": "1234805795", "InactiveExitTimestamp": "Sat 2024-02-24 08:46:58 UTC", "InactiveExitTimestampMonotonic": "1234807145", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "13123", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "system.slice basic.target", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "running", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network-online.target network.target", "WatchdogTimestamp": "Sat 2024-02-24 08:46:58 UTC", "WatchdogTimestampMonotonic": "1234812361", "WatchdogUSec": "0" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Disable rsyslog service] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:328 Saturday 24 February 2024 08:47:11 +0000 (0:00:00.225) 0:00:49.489 ***** skipping: [sut] => { "changed": false, "false_condition": "not __rsyslog_enabled | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:337 Saturday 24 February 2024 08:47:11 +0000 (0:00:00.029) 0:00:49.518 ***** skipping: [sut] => { "false_condition": "__rsyslog_failed_validation | d(false)" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : See if there are any config files] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:349 Saturday 24 February 2024 08:47:11 +0000 (0:00:00.024) 0:00:49.543 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_is_ostree | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get mode of rsyslog.conf if it exists] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:356 Saturday 24 February 2024 08:47:11 +0000 (0:00:00.027) 0:00:49.571 ***** ok: [sut] => { "changed": false, "stat": { "atime": 1708764418.3457072, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "c9638f934d9bd63f915871a44f22aa1968342884", "ctime": 1708764413.5548222, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 136788, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1642101032.0, "nlink": 1, "path": "/etc/rsyslog.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 3232, "uid": 0, "version": "394640341", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate main rsyslog configuration] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:362 Saturday 24 February 2024 08:47:11 +0000 (0:00:00.151) 0:00:49.722 ***** Notification for handler Restart rsyslogd has been saved. changed: [sut] => { "changed": true, "checksum": "5fe705203b62f654be0460d9893da023dbffb94d", "dest": "/etc/rsyslog.conf", "gid": 0, "group": "root", "md5sum": "06be1b21217661badf921ea6dcceb702", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 131, "src": "/root/.ansible/tmp/ansible-tmp-1708764431.4242406-3812-116400817467541/source", "state": "file", "uid": 0 } TASK [Force all notified handlers to run at this point, not waiting for normal sync points] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:260 Saturday 24 February 2024 08:47:11 +0000 (0:00:00.382) 0:00:50.104 ***** NOTIFIED HANDLER fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd for sut META: triggered running handlers for sut RUNNING HANDLER [fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/handlers/main.yml:2 Saturday 24 February 2024 08:47:11 +0000 (0:00:00.014) 0:00:50.119 ***** changed: [sut] => { "changed": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestamp": "Sat 2024-02-24 08:46:58 UTC", "ActiveEnterTimestampMonotonic": "1234812403", "ActiveExitTimestamp": "Sat 2024-02-24 08:46:58 UTC", "ActiveExitTimestampMonotonic": "1234801356", "ActiveState": "active", "After": "system.slice network-online.target network.target basic.target", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "yes", "AssertTimestamp": "Sat 2024-02-24 08:46:58 UTC", "AssertTimestampMonotonic": "1234806285", "Before": "multi-user.target shutdown.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "yes", "ConditionTimestamp": "Sat 2024-02-24 08:46:58 UTC", "ConditionTimestampMonotonic": "1234806284", "Conflicts": "shutdown.target", "ControlGroup": "/system.slice/rsyslog.service", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "13123", "ExecMainStartTimestamp": "Sat 2024-02-24 08:46:58 UTC", "ExecMainStartTimestampMonotonic": "1234807088", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[Sat 2024-02-24 08:46:58 UTC] ; stop_time=[n/a] ; pid=13123 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestamp": "Sat 2024-02-24 08:46:58 UTC", "InactiveEnterTimestampMonotonic": "1234805795", "InactiveExitTimestamp": "Sat 2024-02-24 08:46:58 UTC", "InactiveExitTimestampMonotonic": "1234807145", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "13123", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "system.slice basic.target", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "running", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network-online.target network.target", "WatchdogTimestamp": "Sat 2024-02-24 08:46:58 UTC", "WatchdogTimestampMonotonic": "1234812361", "WatchdogUSec": "0" } } TASK [Ensure config file size and counts] ************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:263 Saturday 24 February 2024 08:47:12 +0000 (0:00:00.234) 0:00:50.354 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml for sut TASK [Get info of /etc/rsyslog.conf] ******************************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:2 Saturday 24 February 2024 08:47:12 +0000 (0:00:00.042) 0:00:50.396 ***** ok: [sut] => { "changed": false, "stat": { "atime": 1708764431.9763799, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "5fe705203b62f654be0460d9893da023dbffb94d", "ctime": 1708764431.7093863, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263365, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1708764431.5863893, "nlink": 1, "path": "/etc/rsyslog.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 131, "uid": 0, "version": "394640604", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [Get file counts in /etc/rsyslog.d] *************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:7 Saturday 24 February 2024 08:47:12 +0000 (0:00:00.137) 0:00:50.533 ***** ok: [sut] => { "changed": false, "examined": 12, "files": [ { "atime": 1708764431.9763799, "ctime": 1708764429.3344433, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263361, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764429.2074463, "nlink": 1, "path": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 299, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764429.768433, "ctime": 1708764428.7484574, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263360, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764428.6154606, "nlink": 1, "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 150, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764431.9763799, "ctime": 1708764428.1804712, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263359, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764428.0484743, "nlink": 1, "path": "/etc/rsyslog.d/30-output-files-files_test1.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 115, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764431.9763799, "ctime": 1708764430.4664161, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263362, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764430.3394194, "nlink": 1, "path": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 280, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764427.471488, "ctime": 1708764426.400514, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263357, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764426.271517, "nlink": 1, "path": "/etc/rsyslog.d/10-output-files-modules.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 151, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764431.97738, "ctime": 1708764423.1155927, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 262515, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764422.9855957, "nlink": 1, "path": "/etc/rsyslog.d/00-global.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 137, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764431.97738, "ctime": 1708764423.4705842, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263353, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764423.3425872, "nlink": 1, "path": "/etc/rsyslog.d/05-common-defaults.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 96, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764431.9763799, "ctime": 1708764427.0284986, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263358, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764426.897502, "nlink": 1, "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 152, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764431.97738, "ctime": 1708764425.0325468, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263355, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764424.9035497, "nlink": 1, "path": "/etc/rsyslog.d/10-input-files-modules.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 118, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764431.9763799, "ctime": 1708764425.6585317, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263356, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764425.520535, "nlink": 1, "path": "/etc/rsyslog.d/90-input-files-files_input.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 265, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764431.9763799, "ctime": 1708764424.352563, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263354, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764424.2185662, "nlink": 1, "path": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 871, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } ], "matched": 11, "skipped_paths": {} } MSG: All paths examined TASK [Check rsyslog.conf size (less)] ****************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:13 Saturday 24 February 2024 08:47:12 +0000 (0:00:00.136) 0:00:50.670 ***** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check rsyslog.conf size (more)] ****************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:18 Saturday 24 February 2024 08:47:12 +0000 (0:00:00.018) 0:00:50.688 ***** skipping: [sut] => { "changed": false, "false_condition": "__conf_size == \"more\"", "skip_reason": "Conditional result was False" } TASK [Check file counts in rsyslog.d] ****************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:23 Saturday 24 February 2024 08:47:12 +0000 (0:00:00.015) 0:00:50.704 ***** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check conf files exist] ************************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:27 Saturday 24 February 2024 08:47:12 +0000 (0:00:00.018) 0:00:50.722 ***** ok: [sut] => (item=/etc/rsyslog.d/30-output-files-files_test0.conf) => { "ansible_loop_var": "item", "changed": false, "failed_when_result": false, "item": "/etc/rsyslog.d/30-output-files-files_test0.conf", "stat": { "atime": 1708764431.9763799, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "ctime": 1708764427.0284986, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263358, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1708764426.897502, "nlink": 1, "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 152, "uid": 0, "version": "394640542", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } ok: [sut] => (item=/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf) => { "ansible_loop_var": "item", "changed": false, "failed_when_result": false, "item": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "stat": { "atime": 1708764431.9763799, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "ctime": 1708764429.3344433, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263361, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1708764429.2074463, "nlink": 1, "path": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 299, "uid": 0, "version": "394640574", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } ok: [sut] => (item=/etc/rsyslog.d/90-input-files-files_input.conf) => { "ansible_loop_var": "item", "changed": false, "failed_when_result": false, "item": "/etc/rsyslog.d/90-input-files-files_input.conf", "stat": { "atime": 1708764431.9763799, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "ctime": 1708764425.6585317, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263356, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1708764425.520535, "nlink": 1, "path": "/etc/rsyslog.d/90-input-files-files_input.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 265, "uid": 0, "version": "394640526", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [Check rsyslog errors] **************************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:35 Saturday 24 February 2024 08:47:12 +0000 (0:00:00.401) 0:00:51.123 ***** skipping: [sut] => { "changed": false, "false_condition": "__check_systemctl_status == \"true\"", "skip_reason": "Conditional result was False" } TASK [Check the files config stat] ********************************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:274 Saturday 24 February 2024 08:47:12 +0000 (0:00:00.015) 0:00:51.139 ***** ok: [sut] => { "changed": false, "failed_when_result": false, "stat": { "atime": 1708764431.9763799, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "ctime": 1708764427.0284986, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263358, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1708764426.897502, "nlink": 1, "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 152, "uid": 0, "version": "394640542", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [Grep output to messages line] ******************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:281 Saturday 24 February 2024 08:47:12 +0000 (0:00:00.179) 0:00:51.318 ***** ok: [sut] => { "changed": false, "cmd": [ "/bin/grep", "\\*.info;authpriv.none;auth.none;cron.none;mail.none.*/var/log/messages", "/etc/rsyslog.d/30-output-files-files_test0.conf" ], "delta": "0:00:00.003515", "end": "2024-02-24 08:47:13.101026", "rc": 0, "start": "2024-02-24 08:47:13.097511" } STDOUT: *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages TASK [Ensure logger message is logged in a file] ******************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:289 Saturday 24 February 2024 08:47:13 +0000 (0:00:00.140) 0:00:51.458 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/test_logger.yml for sut TASK [Run logger to generate a test log message] ******************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/test_logger.yml:7 Saturday 24 February 2024 08:47:13 +0000 (0:00:00.042) 0:00:51.501 ***** ok: [sut] => { "changed": false, "cmd": [ "/bin/logger", "-i", "-p", "local6.info", "-t", "testTag1", "testMessage1" ], "delta": "0:00:00.003203", "end": "2024-02-24 08:47:13.283322", "rc": 0, "start": "2024-02-24 08:47:13.280119" } TASK [Check the test log message in /var/log/messages] ************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/test_logger.yml:13 Saturday 24 February 2024 08:47:13 +0000 (0:00:00.142) 0:00:51.643 ***** ok: [sut] => { "attempts": 1, "changed": false, "cmd": [ "/bin/grep", "testMessage1", "/var/log/messages" ], "delta": "0:00:00.003411", "end": "2024-02-24 08:47:13.425596", "rc": 0, "start": "2024-02-24 08:47:13.422185" } STDOUT: 2024-02-24T08:47:13.280289+00:00 4ab8b239-0ac1-444c-b5fa-23f2527fc714 ansible-ansible.legacy.command: Invoked with executable=None _uses_shell=False expand_argument_vars=True strip_empty_ends=True _raw_params=/bin/logger -i -p local6.info -t testTag1 testMessage1 removes=None argv=None creates=None chdir=None stdin_add_newline=True stdin=None 2024-02-24T08:47:13.283262+00:00 4ab8b239-0ac1-444c-b5fa-23f2527fc714 testTag1[13964]: testMessage1 2024-02-24T08:47:13.422428+00:00 4ab8b239-0ac1-444c-b5fa-23f2527fc714 ansible-ansible.legacy.command: Invoked with executable=None _uses_shell=False expand_argument_vars=True strip_empty_ends=True _raw_params=/bin/grep testMessage1 /var/log/messages removes=None argv=None creates=None chdir=None stdin_add_newline=True stdin=None TASK [Check the forwarding config stat] **************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:295 Saturday 24 February 2024 08:47:13 +0000 (0:00:00.143) 0:00:51.786 ***** ok: [sut] => { "changed": false, "failed_when_result": false, "stat": { "atime": 1708764431.9763799, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "ctime": 1708764429.3344433, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263361, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1708764429.2074463, "nlink": 1, "path": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 299, "uid": 0, "version": "394640574", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [Generate a file to check severity_and_facility] ************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:301 Saturday 24 February 2024 08:47:13 +0000 (0:00:00.140) 0:00:51.926 ***** ok: [sut] => { "changed": false, "checksum": "e18160e11437f8394d8ed393cda52474627d5062", "dest": "/tmp/__testfile__", "gid": 0, "group": "root", "mode": "0600", "owner": "root", "path": "/tmp/__testfile__", "secontext": "unconfined_u:object_r:admin_home_t:s0", "size": 296, "state": "file", "uid": 0 } TASK [Check severity_and_facility] ********************************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:320 Saturday 24 February 2024 08:47:13 +0000 (0:00:00.308) 0:00:52.235 ***** ok: [sut] => { "changed": false, "cmd": [ "diff", "-B", "/tmp/__testfile__", "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf" ], "delta": "0:00:00.003306", "end": "2024-02-24 08:47:14.009619", "rc": 0, "start": "2024-02-24 08:47:14.006313" } TASK [Check the files config stat] ********************************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:324 Saturday 24 February 2024 08:47:14 +0000 (0:00:00.132) 0:00:52.368 ***** ok: [sut] => { "changed": false, "failed_when_result": false, "stat": { "atime": 1708764431.9763799, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "ctime": 1708764425.6585317, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263356, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1708764425.520535, "nlink": 1, "path": "/etc/rsyslog.d/90-input-files-files_input.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 265, "uid": 0, "version": "394640526", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [Check the input call with tag=files_input] ******************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:330 Saturday 24 February 2024 08:47:14 +0000 (0:00:00.138) 0:00:52.506 ***** ok: [sut] => (item=type="imfile") => { "ansible_loop_var": "item", "backup": "", "changed": false, "failed_when_result": false, "item": "type=\"imfile\"" } ok: [sut] => (item=file="/var/log/inputdirectory/*.log") => { "ansible_loop_var": "item", "backup": "", "changed": false, "failed_when_result": false, "item": "file=\"/var/log/inputdirectory/*.log\"" } ok: [sut] => (item=tag="files_input") => { "ansible_loop_var": "item", "backup": "", "changed": false, "failed_when_result": false, "item": "tag=\"files_input\"" } TASK [Create a test log file with a log message in /var/log/inputdirectory] **** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:344 Saturday 24 February 2024 08:47:14 +0000 (0:00:00.381) 0:00:52.887 ***** ok: [sut] => { "changed": false, "cmd": "set -euo pipefail\necho '<167>Dec 26 01:00:00 11.22.33.44 tag msgnum:00000001:26:ABCDEFGHIJKLMNOPQRSTUVWXYZ' >> /var/log/inputdirectory/test.log", "delta": "0:00:00.003911", "end": "2024-02-24 08:47:14.662095", "rc": 0, "start": "2024-02-24 08:47:14.658184" } TASK [Check the fake test log message in /var/log/messages] ******************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:350 Saturday 24 February 2024 08:47:14 +0000 (0:00:00.134) 0:00:53.021 ***** ok: [sut] => { "changed": false, "cmd": [ "/bin/grep", "files_input .*ABCDEFGHIJKLMNOPQRSTUVWXYZ$", "/var/log/messages" ], "delta": "0:00:00.003743", "end": "2024-02-24 08:47:14.803141", "rc": 0, "start": "2024-02-24 08:47:14.799398" } STDOUT: 2024-02-24T08:47:14.661894+00:00 4ab8b239-0ac1-444c-b5fa-23f2527fc714 files_input 7>Dec 26 01:00:00 11.22.33.44 tag msgnum:00000001:26:ABCDEFGHIJKLMNOPQRSTUVWXYZ TASK [Check ports managed by firewall and selinux] ***************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:356 Saturday 24 February 2024 08:47:14 +0000 (0:00:00.143) 0:00:53.164 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml for sut TASK [Check ports are not retrieved if both logging_manage_firewall and logging_manage_selinux are not true] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:3 Saturday 24 February 2024 08:47:14 +0000 (0:00:00.047) 0:00:53.212 ***** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check firewall port status (manage - tcp)] ******************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:13 Saturday 24 February 2024 08:47:14 +0000 (0:00:00.030) 0:00:53.242 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check firewall port status (manage - udp)] ******************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:22 Saturday 24 February 2024 08:47:14 +0000 (0:00:00.018) 0:00:53.261 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - tcp)] *************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:34 Saturday 24 February 2024 08:47:14 +0000 (0:00:00.015) 0:00:53.276 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - udp)] *************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:44 Saturday 24 February 2024 08:47:14 +0000 (0:00:00.024) 0:00:53.300 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [END TEST CASE 1; Clean up the deployed config] *************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:359 Saturday 24 February 2024 08:47:14 +0000 (0:00:00.014) 0:00:53.315 ***** TASK [fedora.linux_system_roles.logging : Set files output if files output is not defined and logging_inputs is not empty] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:7 Saturday 24 February 2024 08:47:15 +0000 (0:00:00.148) 0:00:53.464 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_inputs | d([])", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Set rsyslog_outputs] ***************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:14 Saturday 24 February 2024 08:47:15 +0000 (0:00:00.034) 0:00:53.498 ***** ok: [sut] => { "ansible_facts": { "rsyslog_outputs": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set rsyslog_inputs] ****************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:18 Saturday 24 February 2024 08:47:15 +0000 (0:00:00.035) 0:00:53.533 ***** ok: [sut] => { "ansible_facts": { "rsyslog_inputs": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set custom_config_files fact] ******** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:22 Saturday 24 February 2024 08:47:15 +0000 (0:00:00.032) 0:00:53.566 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Check logging_inputs item in logging_flows.inputs] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:34 Saturday 24 February 2024 08:47:15 +0000 (0:00:00.024) 0:00:53.590 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Gather ports specified in the logging_inputs and outputs vars] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:44 Saturday 24 February 2024 08:47:15 +0000 (0:00:00.021) 0:00:53.612 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_tls_tcp_ports] **** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:3 Saturday 24 February 2024 08:47:15 +0000 (0:00:00.048) 0:00:53.660 ***** ok: [sut] => { "ansible_facts": { "logging_tls_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tcp_ports] ******** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:7 Saturday 24 February 2024 08:47:15 +0000 (0:00:00.047) 0:00:53.708 ***** ok: [sut] => { "ansible_facts": { "logging_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tls_udp_ports] **** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:11 Saturday 24 February 2024 08:47:15 +0000 (0:00:00.079) 0:00:53.787 ***** ok: [sut] => { "ansible_facts": { "logging_tls_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_udp_ports] ******** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:15 Saturday 24 February 2024 08:47:15 +0000 (0:00:00.042) 0:00:53.830 ***** ok: [sut] => { "ansible_facts": { "logging_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Parameter 'port' values] ************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:24 Saturday 24 February 2024 08:47:15 +0000 (0:00:00.030) 0:00:53.860 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:88 Saturday 24 February 2024 08:47:15 +0000 (0:00:00.029) 0:00:53.890 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:92 Saturday 24 February 2024 08:47:15 +0000 (0:00:00.027) 0:00:53.918 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:96 Saturday 24 February 2024 08:47:15 +0000 (0:00:00.030) 0:00:53.949 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:100 Saturday 24 February 2024 08:47:15 +0000 (0:00:00.039) 0:00:53.988 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:104 Saturday 24 February 2024 08:47:15 +0000 (0:00:00.044) 0:00:54.032 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:108 Saturday 24 February 2024 08:47:15 +0000 (0:00:00.043) 0:00:54.076 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:153 Saturday 24 February 2024 08:47:15 +0000 (0:00:00.032) 0:00:54.109 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:157 Saturday 24 February 2024 08:47:15 +0000 (0:00:00.034) 0:00:54.144 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:161 Saturday 24 February 2024 08:47:15 +0000 (0:00:00.028) 0:00:54.172 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:165 Saturday 24 February 2024 08:47:15 +0000 (0:00:00.028) 0:00:54.201 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage firewall on the gathered ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:47 Saturday 24 February 2024 08:47:15 +0000 (0:00:00.028) 0:00:54.229 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_firewall_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:7 Saturday 24 February 2024 08:47:16 +0000 (0:00:00.158) 0:00:54.387 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add tcp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:11 Saturday 24 February 2024 08:47:16 +0000 (0:00:00.043) 0:00:54.431 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add udp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:17 Saturday 24 February 2024 08:47:16 +0000 (0:00:00.052) 0:00:54.483 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage firewall for specified ports] ************************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:23 Saturday 24 February 2024 08:47:16 +0000 (0:00:00.105) 0:00:54.588 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage selinux on the gathered ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:50 Saturday 24 February 2024 08:47:16 +0000 (0:00:00.042) 0:00:54.630 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_selinux_ports] **** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:7 Saturday 24 February 2024 08:47:16 +0000 (0:00:00.061) 0:00:54.692 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add non tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:11 Saturday 24 February 2024 08:47:16 +0000 (0:00:00.034) 0:00:54.727 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:16 Saturday 24 February 2024 08:47:16 +0000 (0:00:00.017) 0:00:54.745 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add non tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:21 Saturday 24 February 2024 08:47:16 +0000 (0:00:00.016) 0:00:54.761 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:26 Saturday 24 February 2024 08:47:16 +0000 (0:00:00.017) 0:00:54.779 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage selinux for specified ports] ************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:31 Saturday 24 February 2024 08:47:16 +0000 (0:00:00.017) 0:00:54.797 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Generate certificates] *************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:54 Saturday 24 February 2024 08:47:16 +0000 (0:00:00.042) 0:00:54.839 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml for sut TASK [Generate certificates] *************************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml:2 Saturday 24 February 2024 08:47:16 +0000 (0:00:00.046) 0:00:54.886 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_certificates | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Re-read facts after adding custom fact] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:60 Saturday 24 February 2024 08:47:16 +0000 (0:00:00.028) 0:00:54.914 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug dir] ************ task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:64 Saturday 24 February 2024 08:47:16 +0000 (0:00:00.027) 0:00:54.941 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Delete debug file] ******************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:70 Saturday 24 February 2024 08:47:16 +0000 (0:00:00.029) 0:00:54.970 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug file] *********** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:75 Saturday 24 February 2024 08:47:16 +0000 (0:00:00.032) 0:00:55.003 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Use a debug var to avoid an empty dict in with_dict] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:82 Saturday 24 February 2024 08:47:16 +0000 (0:00:00.049) 0:00:55.052 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Populate rsyslog debug file] ********* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:86 Saturday 24 February 2024 08:47:16 +0000 (0:00:00.034) 0:00:55.087 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [Include Rsyslog role] **************************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:97 Saturday 24 February 2024 08:47:16 +0000 (0:00:00.086) 0:00:55.174 ***** TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:4 Saturday 24 February 2024 08:47:16 +0000 (0:00:00.028) 0:00:55.202 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Ensure ansible_facts used by role] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:4 Saturday 24 February 2024 08:47:16 +0000 (0:00:00.025) 0:00:55.228 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_required_facts | difference(ansible_facts.keys() | list) | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check if system is ostree] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:12 Saturday 24 February 2024 08:47:16 +0000 (0:00:00.028) 0:00:55.257 ***** skipping: [sut] => { "changed": false, "false_condition": "not __logging_is_ostree is defined", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set flag to indicate system is ostree] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:17 Saturday 24 February 2024 08:47:16 +0000 (0:00:00.020) 0:00:55.278 ***** skipping: [sut] => { "changed": false, "false_condition": "not __logging_is_ostree is defined", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:21 Saturday 24 February 2024 08:47:16 +0000 (0:00:00.019) 0:00:55.298 ***** ok: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml", "skip_reason": "Conditional result was False" } ok: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics_rhel7.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include /vars/main.yml from /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:31 Saturday 24 February 2024 08:47:17 +0000 (0:00:00.044) 0:00:55.342 ***** skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Main rsyslog subrole] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:7 Saturday 24 February 2024 08:47:17 +0000 (0:00:00.052) 0:00:55.395 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get status of rsyslog packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:15 Saturday 24 February 2024 08:47:17 +0000 (0:00:00.056) 0:00:55.451 ***** ok: [sut] => (item=iproute) => { "ansible_loop_var": "item", "changed": false, "cmd": [ "rpm", "-V", "iproute" ], "delta": "0:00:00.087605", "end": "2024-02-24 08:47:17.322749", "failed_when_result": false, "item": "iproute", "rc": 0, "start": "2024-02-24 08:47:17.235144" } ok: [sut] => (item=rsyslog) => { "ansible_loop_var": "item", "changed": false, "cmd": [ "rpm", "-V", "rsyslog" ], "delta": "0:00:00.081623", "end": "2024-02-24 08:47:17.520639", "failed_when_result": false, "item": "rsyslog", "rc": 1, "start": "2024-02-24 08:47:17.439016" } STDOUT: S.5....T. c /etc/rsyslog.conf MSG: non-zero return code TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset original confs - logging package is absent] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:22 Saturday 24 February 2024 08:47:17 +0000 (0:00:00.434) 0:00:55.886 ***** changed: [sut] => { "changed": true, "changes": { "removed": [ "iproute", "rsyslog" ] }, "rc": 0, "results": [ "Loaded plugins: fastestmirror\nResolving Dependencies\n--> Running transaction check\n---> Package iproute.x86_64 0:4.11.0-30.el7 will be erased\n---> Package rsyslog.x86_64 0:8.24.0-57.el7_9.3 will be erased\n--> Finished Dependency Resolution\n\nDependencies Resolved\n\n================================================================================\n Package Arch Version Repository Size\n================================================================================\nRemoving:\n iproute x86_64 4.11.0-30.el7 @base 1.8 M\n rsyslog x86_64 8.24.0-57.el7_9.3 @updates 1.9 M\n\nTransaction Summary\n================================================================================\nRemove 2 Packages\n\nInstalled size: 3.7 M\nDownloading packages:\nRunning transaction check\nRunning transaction test\nTransaction test succeeded\nRunning transaction\n Erasing : iproute-4.11.0-30.el7.x86_64 1/2 \n Erasing : rsyslog-8.24.0-57.el7_9.3.x86_64 2/2 \nwarning: /etc/rsyslog.conf saved as /etc/rsyslog.conf.rpmsave\n Verifying : rsyslog-8.24.0-57.el7_9.3.x86_64 1/2 \n Verifying : iproute-4.11.0-30.el7.x86_64 2/2 \n\nRemoved:\n iproute.x86_64 0:4.11.0-30.el7 rsyslog.x86_64 0:8.24.0-57.el7_9.3 \n\nComplete!\n" ] } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:30 Saturday 24 February 2024 08:47:18 +0000 (0:00:01.441) 0:00:57.327 ***** changed: [sut] => { "changed": true, "changes": { "installed": [ "iproute", "rsyslog" ] }, "rc": 0, "results": [ "Loaded plugins: fastestmirror\nLoading mirror speeds from cached hostfile\n * base: download.cf.centos.org\n * extras: download.cf.centos.org\n * updates: download.cf.centos.org\nResolving Dependencies\n--> Running transaction check\n---> Package iproute.x86_64 0:4.11.0-30.el7 will be installed\n---> Package rsyslog.x86_64 0:8.24.0-57.el7_9.3 will be installed\n--> Finished Dependency Resolution\n\nDependencies Resolved\n\n================================================================================\n Package Arch Version Repository Size\n================================================================================\nInstalling:\n iproute x86_64 4.11.0-30.el7 base 805 k\n rsyslog x86_64 8.24.0-57.el7_9.3 updates 622 k\n\nTransaction Summary\n================================================================================\nInstall 2 Packages\n\nTotal download size: 1.4 M\nInstalled size: 3.7 M\nDownloading packages:\n--------------------------------------------------------------------------------\nTotal 4.7 MB/s | 1.4 MB 00:00 \nRunning transaction check\nRunning transaction test\nTransaction test succeeded\nRunning transaction\n Installing : iproute-4.11.0-30.el7.x86_64 1/2 \n Installing : rsyslog-8.24.0-57.el7_9.3.x86_64 2/2 \n Verifying : rsyslog-8.24.0-57.el7_9.3.x86_64 1/2 \n Verifying : iproute-4.11.0-30.el7.x86_64 2/2 \n\nInstalled:\n iproute.x86_64 0:4.11.0-30.el7 rsyslog.x86_64 0:8.24.0-57.el7_9.3 \n\nComplete!\n" ] } lsrpackages: iproute rsyslog TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset erased flag] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:40 Saturday 24 February 2024 08:47:21 +0000 (0:00:02.730) 0:01:00.057 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_erased": false }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 Saturday 24 February 2024 08:47:21 +0000 (0:00:00.017) 0:01:00.075 ***** ok: [sut] => { "ansible_facts": { "packages": { "NetworkManager": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-libnm": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-libnm", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-team": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-team", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-tui": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-tui", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "PyYAML": [ { "arch": "x86_64", "epoch": null, "name": "PyYAML", "release": "11.el7", "source": "rpm", "version": "3.10" } ], "acl": [ { "arch": "x86_64", "epoch": null, "name": "acl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "aic94xx-firmware": [ { "arch": "noarch", "epoch": null, "name": "aic94xx-firmware", "release": "6.el7", "source": "rpm", "version": "30" } ], "alsa-firmware": [ { "arch": "noarch", "epoch": null, "name": "alsa-firmware", "release": "2.el7", "source": "rpm", "version": "1.0.28" } ], "alsa-lib": [ { "arch": "x86_64", "epoch": null, "name": "alsa-lib", "release": "1.el7", "source": "rpm", "version": "1.1.8" } ], "alsa-tools-firmware": [ { "arch": "x86_64", "epoch": null, "name": "alsa-tools-firmware", "release": "1.el7", "source": "rpm", "version": "1.1.0" } ], "aspell": [ { "arch": "x86_64", "epoch": 12, "name": "aspell", "release": "9.el7", "source": "rpm", "version": "0.60.6.1" } ], "audit": [ { "arch": "x86_64", "epoch": null, "name": "audit", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs-python": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs-python", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "authconfig": [ { "arch": "x86_64", "epoch": null, "name": "authconfig", "release": "30.el7", "source": "rpm", "version": "6.2.8" } ], "avahi-libs": [ { "arch": "x86_64", "epoch": null, "name": "avahi-libs", "release": "20.el7", "source": "rpm", "version": "0.6.31" } ], "basesystem": [ { "arch": "noarch", "epoch": null, "name": "basesystem", "release": "7.el7.centos", "source": "rpm", "version": "10.0" } ], "bash": [ { "arch": "x86_64", "epoch": null, "name": "bash", "release": "35.el7_9", "source": "rpm", "version": "4.2.46" } ], "bc": [ { "arch": "x86_64", "epoch": null, "name": "bc", "release": "13.el7", "source": "rpm", "version": "1.06.95" } ], "bind-export-libs": [ { "arch": "x86_64", "epoch": 32, "name": "bind-export-libs", "release": "26.P2.el7_9.15", "source": "rpm", "version": "9.11.4" } ], "binutils": [ { "arch": "x86_64", "epoch": null, "name": "binutils", "release": "44.base.el7_9.1", "source": "rpm", "version": "2.27" } ], "biosdevname": [ { "arch": "x86_64", "epoch": null, "name": "biosdevname", "release": "2.el7", "source": "rpm", "version": "0.7.3" } ], "boost-date-time": [ { "arch": "x86_64", "epoch": null, "name": "boost-date-time", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-system": [ { "arch": "x86_64", "epoch": null, "name": "boost-system", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-thread": [ { "arch": "x86_64", "epoch": null, "name": "boost-thread", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "btrfs-progs": [ { "arch": "x86_64", "epoch": null, "name": "btrfs-progs", "release": "1.el7", "source": "rpm", "version": "4.9.1" } ], "bzip2-libs": [ { "arch": "x86_64", "epoch": null, "name": "bzip2-libs", "release": "13.el7", "source": "rpm", "version": "1.0.6" } ], "ca-certificates": [ { "arch": "noarch", "epoch": null, "name": "ca-certificates", "release": "72.el7_9", "source": "rpm", "version": "2023.2.60_v7.0.306" } ], "centos-logos": [ { "arch": "noarch", "epoch": null, "name": "centos-logos", "release": "3.el7.centos", "source": "rpm", "version": "70.0.6" } ], "centos-release": [ { "arch": "x86_64", "epoch": null, "name": "centos-release", "release": "9.2009.1.el7.centos", "source": "rpm", "version": "7" } ], "checkpolicy": [ { "arch": "x86_64", "epoch": null, "name": "checkpolicy", "release": "8.el7", "source": "rpm", "version": "2.5" } ], "chkconfig": [ { "arch": "x86_64", "epoch": null, "name": "chkconfig", "release": "1.el7", "source": "rpm", "version": "1.7.6" } ], "chrony": [ { "arch": "x86_64", "epoch": null, "name": "chrony", "release": "1.el7", "source": "rpm", "version": "3.4" } ], "cloud-utils-growpart": [ { "arch": "noarch", "epoch": null, "name": "cloud-utils-growpart", "release": "5.el7", "source": "rpm", "version": "0.29" } ], "coreutils": [ { "arch": "x86_64", "epoch": null, "name": "coreutils", "release": "24.el7_9.2", "source": "rpm", "version": "8.22" } ], "cpio": [ { "arch": "x86_64", "epoch": null, "name": "cpio", "release": "28.el7", "source": "rpm", "version": "2.11" } ], "cpp": [ { "arch": "x86_64", "epoch": null, "name": "cpp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "cracklib": [ { "arch": "x86_64", "epoch": null, "name": "cracklib", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "cracklib-dicts": [ { "arch": "x86_64", "epoch": null, "name": "cracklib-dicts", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "createrepo": [ { "arch": "noarch", "epoch": null, "name": "createrepo", "release": "28.el7", "source": "rpm", "version": "0.9.9" } ], "cronie": [ { "arch": "x86_64", "epoch": null, "name": "cronie", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "cronie-anacron": [ { "arch": "x86_64", "epoch": null, "name": "cronie-anacron", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "crontabs": [ { "arch": "noarch", "epoch": null, "name": "crontabs", "release": "6.20121102git.el7", "source": "rpm", "version": "1.11" } ], "cryptsetup-libs": [ { "arch": "x86_64", "epoch": null, "name": "cryptsetup-libs", "release": "6.el7", "source": "rpm", "version": "2.0.3" } ], "curl": [ { "arch": "x86_64", "epoch": null, "name": "curl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "cyrus-sasl-lib": [ { "arch": "x86_64", "epoch": null, "name": "cyrus-sasl-lib", "release": "24.el7_9", "source": "rpm", "version": "2.1.26" } ], "dbus": [ { "arch": "x86_64", "epoch": 1, "name": "dbus", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-glib": [ { "arch": "x86_64", "epoch": null, "name": "dbus-glib", "release": "7.el7", "source": "rpm", "version": "0.100" } ], "dbus-libs": [ { "arch": "x86_64", "epoch": 1, "name": "dbus-libs", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-python": [ { "arch": "x86_64", "epoch": null, "name": "dbus-python", "release": "9.el7", "source": "rpm", "version": "1.1.1" } ], "deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "device-mapper": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "device-mapper-libs": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper-libs", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "dhcp-common": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-common", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "dhcp-libs": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-libs", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "diffutils": [ { "arch": "x86_64", "epoch": null, "name": "diffutils", "release": "6.el7_9", "source": "rpm", "version": "3.3" } ], "dmidecode": [ { "arch": "x86_64", "epoch": 1, "name": "dmidecode", "release": "5.el7_9.1", "source": "rpm", "version": "3.2" } ], "dnf-data": [ { "arch": "noarch", "epoch": null, "name": "dnf-data", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "dracut": [ { "arch": "x86_64", "epoch": null, "name": "dracut", "release": "572.el7", "source": "rpm", "version": "033" } ], "dracut-config-rescue": [ { "arch": "x86_64", "epoch": null, "name": "dracut-config-rescue", "release": "572.el7", "source": "rpm", "version": "033" } ], "dyninst": [ { "arch": "x86_64", "epoch": null, "name": "dyninst", "release": "3.el7", "source": "rpm", "version": "9.3.1" } ], "e2fsprogs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "e2fsprogs-libs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs-libs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "ebtables": [ { "arch": "x86_64", "epoch": null, "name": "ebtables", "release": "16.el7", "source": "rpm", "version": "2.0.10" } ], "efivar-libs": [ { "arch": "x86_64", "epoch": null, "name": "efivar-libs", "release": "12.el7", "source": "rpm", "version": "36" } ], "elfutils-default-yama-scope": [ { "arch": "noarch", "epoch": null, "name": "elfutils-default-yama-scope", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libelf": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libelf", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libs", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "epel-release": [ { "arch": "noarch", "epoch": null, "name": "epel-release", "release": "14", "source": "rpm", "version": "7" } ], "ethtool": [ { "arch": "x86_64", "epoch": 2, "name": "ethtool", "release": "10.el7", "source": "rpm", "version": "4.8" } ], "expat": [ { "arch": "x86_64", "epoch": null, "name": "expat", "release": "15.el7_9", "source": "rpm", "version": "2.1.0" } ], "file": [ { "arch": "x86_64", "epoch": null, "name": "file", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "file-libs": [ { "arch": "x86_64", "epoch": null, "name": "file-libs", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "filesystem": [ { "arch": "x86_64", "epoch": null, "name": "filesystem", "release": "25.el7", "source": "rpm", "version": "3.2" } ], "findutils": [ { "arch": "x86_64", "epoch": 1, "name": "findutils", "release": "6.el7", "source": "rpm", "version": "4.5.11" } ], "fipscheck": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "fipscheck-lib": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck-lib", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "firewalld": [ { "arch": "noarch", "epoch": null, "name": "firewalld", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "firewalld-filesystem": [ { "arch": "noarch", "epoch": null, "name": "firewalld-filesystem", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "freetype": [ { "arch": "x86_64", "epoch": null, "name": "freetype", "release": "14.el7_9.1", "source": "rpm", "version": "2.8" } ], "fxload": [ { "arch": "x86_64", "epoch": null, "name": "fxload", "release": "16.el7", "source": "rpm", "version": "2002_04_11" } ], "gawk": [ { "arch": "x86_64", "epoch": null, "name": "gawk", "release": "4.el7_3.1", "source": "rpm", "version": "4.0.2" } ], "gcc": [ { "arch": "x86_64", "epoch": null, "name": "gcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "gdbm": [ { "arch": "x86_64", "epoch": null, "name": "gdbm", "release": "8.el7", "source": "rpm", "version": "1.10" } ], "gettext": [ { "arch": "x86_64", "epoch": null, "name": "gettext", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "gettext-libs": [ { "arch": "x86_64", "epoch": null, "name": "gettext-libs", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "git": [ { "arch": "x86_64", "epoch": null, "name": "git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "glib2": [ { "arch": "x86_64", "epoch": null, "name": "glib2", "release": "9.el7_9", "source": "rpm", "version": "2.56.1" } ], "glibc": [ { "arch": "x86_64", "epoch": null, "name": "glibc", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-common": [ { "arch": "x86_64", "epoch": null, "name": "glibc-common", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-devel": [ { "arch": "x86_64", "epoch": null, "name": "glibc-devel", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-headers": [ { "arch": "x86_64", "epoch": null, "name": "glibc-headers", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "gmp": [ { "arch": "x86_64", "epoch": 1, "name": "gmp", "release": "15.el7", "source": "rpm", "version": "6.0.0" } ], "gnupg2": [ { "arch": "x86_64", "epoch": null, "name": "gnupg2", "release": "5.el7_5", "source": "rpm", "version": "2.0.22" } ], "gobject-introspection": [ { "arch": "x86_64", "epoch": null, "name": "gobject-introspection", "release": "1.el7", "source": "rpm", "version": "1.56.1" } ], "gpg-pubkey": [ { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "52ae6884", "source": "rpm", "version": "352c64e5" }, { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "53a7ff4b", "source": "rpm", "version": "f4a80eb5" } ], "gpgme": [ { "arch": "x86_64", "epoch": null, "name": "gpgme", "release": "5.el7", "source": "rpm", "version": "1.3.2" } ], "gpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "gpm-libs", "release": "6.el7", "source": "rpm", "version": "1.20.7" } ], "grep": [ { "arch": "x86_64", "epoch": null, "name": "grep", "release": "3.el7", "source": "rpm", "version": "2.20" } ], "groff-base": [ { "arch": "x86_64", "epoch": null, "name": "groff-base", "release": "8.el7", "source": "rpm", "version": "1.22.2" } ], "grub2": [ { "arch": "x86_64", "epoch": 1, "name": "grub2", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-common": [ { "arch": "noarch", "epoch": 1, "name": "grub2-common", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-pc", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc-modules": [ { "arch": "noarch", "epoch": 1, "name": "grub2-pc-modules", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-extra": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-extra", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-minimal": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-minimal", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grubby": [ { "arch": "x86_64", "epoch": null, "name": "grubby", "release": "26.el7", "source": "rpm", "version": "8.28" } ], "gssproxy": [ { "arch": "x86_64", "epoch": null, "name": "gssproxy", "release": "30.el7_9", "source": "rpm", "version": "0.7.0" } ], "gzip": [ { "arch": "x86_64", "epoch": null, "name": "gzip", "release": "11.el7_9", "source": "rpm", "version": "1.5" } ], "hardlink": [ { "arch": "x86_64", "epoch": 1, "name": "hardlink", "release": "19.el7", "source": "rpm", "version": "1.0" } ], "hostname": [ { "arch": "x86_64", "epoch": null, "name": "hostname", "release": "3.el7_7.1", "source": "rpm", "version": "3.13" } ], "hwdata": [ { "arch": "x86_64", "epoch": null, "name": "hwdata", "release": "9.7.el7", "source": "rpm", "version": "0.252" } ], "info": [ { "arch": "x86_64", "epoch": null, "name": "info", "release": "5.el7", "source": "rpm", "version": "5.1" } ], "iproute": [ { "arch": "x86_64", "epoch": null, "name": "iproute", "release": "30.el7", "source": "rpm", "version": "4.11.0" } ], "iprutils": [ { "arch": "x86_64", "epoch": null, "name": "iprutils", "release": "3.el7_7", "source": "rpm", "version": "2.4.17.1" } ], "ipset": [ { "arch": "x86_64", "epoch": null, "name": "ipset", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "ipset-libs": [ { "arch": "x86_64", "epoch": null, "name": "ipset-libs", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "iptables": [ { "arch": "x86_64", "epoch": null, "name": "iptables", "release": "35.el7", "source": "rpm", "version": "1.4.21" } ], "iputils": [ { "arch": "x86_64", "epoch": null, "name": "iputils", "release": "10.el7", "source": "rpm", "version": "20160308" } ], "irqbalance": [ { "arch": "x86_64", "epoch": 3, "name": "irqbalance", "release": "12.el7", "source": "rpm", "version": "1.0.7" } ], "ivtv-firmware": [ { "arch": "noarch", "epoch": 2, "name": "ivtv-firmware", "release": "26.el7", "source": "rpm", "version": "20080701" } ], "iwl100-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl100-firmware", "release": "82.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl1000-firmware": [ { "arch": "noarch", "epoch": 1, "name": "iwl1000-firmware", "release": "82.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl105-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl105-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl135-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl135-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2000-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2030-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2030-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl3160-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3160-firmware", "release": "82.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "iwl3945-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3945-firmware", "release": "82.el7_9", "source": "rpm", "version": "15.32.2.9" } ], "iwl4965-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl4965-firmware", "release": "82.el7_9", "source": "rpm", "version": "228.61.2.24" } ], "iwl5000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5000-firmware", "release": "82.el7_9", "source": "rpm", "version": "8.83.5.1_1" } ], "iwl5150-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5150-firmware", "release": "82.el7_9", "source": "rpm", "version": "8.24.2.2" } ], "iwl6000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000-firmware", "release": "82.el7_9", "source": "rpm", "version": "9.221.4.1" } ], "iwl6000g2a-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2a-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6000g2b-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2b-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6050-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6050-firmware", "release": "82.el7_9", "source": "rpm", "version": "41.28.5.1" } ], "iwl7260-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl7260-firmware", "release": "82.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "jansson": [ { "arch": "x86_64", "epoch": null, "name": "jansson", "release": "1.el7", "source": "rpm", "version": "2.10" } ], "json-c": [ { "arch": "x86_64", "epoch": null, "name": "json-c", "release": "4.el7_0", "source": "rpm", "version": "0.11" } ], "kbd-legacy": [ { "arch": "noarch", "epoch": null, "name": "kbd-legacy", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kbd-misc": [ { "arch": "noarch", "epoch": null, "name": "kbd-misc", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kernel": [ { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.el7", "source": "rpm", "version": "3.10.0" }, { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-debug-devel": [ { "arch": "x86_64", "epoch": null, "name": "kernel-debug-devel", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-headers": [ { "arch": "x86_64", "epoch": null, "name": "kernel-headers", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools-libs": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools-libs", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "keyutils": [ { "arch": "x86_64", "epoch": null, "name": "keyutils", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "keyutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "keyutils-libs", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "kmod": [ { "arch": "x86_64", "epoch": null, "name": "kmod", "release": "28.el7", "source": "rpm", "version": "20" } ], "kmod-libs": [ { "arch": "x86_64", "epoch": null, "name": "kmod-libs", "release": "28.el7", "source": "rpm", "version": "20" } ], "kpartx": [ { "arch": "x86_64", "epoch": null, "name": "kpartx", "release": "136.el7_9", "source": "rpm", "version": "0.4.9" } ], "krb5-libs": [ { "arch": "x86_64", "epoch": null, "name": "krb5-libs", "release": "55.el7_9", "source": "rpm", "version": "1.15.1" } ], "less": [ { "arch": "x86_64", "epoch": null, "name": "less", "release": "9.el7", "source": "rpm", "version": "458" } ], "libacl": [ { "arch": "x86_64", "epoch": null, "name": "libacl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "libassuan": [ { "arch": "x86_64", "epoch": null, "name": "libassuan", "release": "3.el7", "source": "rpm", "version": "2.1.0" } ], "libattr": [ { "arch": "x86_64", "epoch": null, "name": "libattr", "release": "13.el7", "source": "rpm", "version": "2.4.46" } ], "libbasicobjects": [ { "arch": "x86_64", "epoch": null, "name": "libbasicobjects", "release": "32.el7", "source": "rpm", "version": "0.1.1" } ], "libblkid": [ { "arch": "x86_64", "epoch": null, "name": "libblkid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libcap": [ { "arch": "x86_64", "epoch": null, "name": "libcap", "release": "11.el7", "source": "rpm", "version": "2.22" } ], "libcap-ng": [ { "arch": "x86_64", "epoch": null, "name": "libcap-ng", "release": "4.el7", "source": "rpm", "version": "0.7.5" } ], "libcgroup": [ { "arch": "x86_64", "epoch": null, "name": "libcgroup", "release": "21.el7", "source": "rpm", "version": "0.41" } ], "libcollection": [ { "arch": "x86_64", "epoch": null, "name": "libcollection", "release": "32.el7", "source": "rpm", "version": "0.7.0" } ], "libcom_err": [ { "arch": "x86_64", "epoch": null, "name": "libcom_err", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libcomps": [ { "arch": "x86_64", "epoch": null, "name": "libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "libcroco": [ { "arch": "x86_64", "epoch": null, "name": "libcroco", "release": "6.el7_9", "source": "rpm", "version": "0.6.12" } ], "libcurl": [ { "arch": "x86_64", "epoch": null, "name": "libcurl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "libdaemon": [ { "arch": "x86_64", "epoch": null, "name": "libdaemon", "release": "7.el7", "source": "rpm", "version": "0.14" } ], "libdb": [ { "arch": "x86_64", "epoch": null, "name": "libdb", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdb-utils": [ { "arch": "x86_64", "epoch": null, "name": "libdb-utils", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdnf": [ { "arch": "x86_64", "epoch": null, "name": "libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "libdrm": [ { "arch": "x86_64", "epoch": null, "name": "libdrm", "release": "2.el7", "source": "rpm", "version": "2.4.97" } ], "libdwarf": [ { "arch": "x86_64", "epoch": null, "name": "libdwarf", "release": "4.el7", "source": "rpm", "version": "20130207" } ], "libedit": [ { "arch": "x86_64", "epoch": null, "name": "libedit", "release": "12.20121213cvs.el7", "source": "rpm", "version": "3.0" } ], "libestr": [ { "arch": "x86_64", "epoch": null, "name": "libestr", "release": "2.el7", "source": "rpm", "version": "0.1.9" } ], "libevent": [ { "arch": "x86_64", "epoch": null, "name": "libevent", "release": "4.el7", "source": "rpm", "version": "2.0.21" } ], "libfastjson": [ { "arch": "x86_64", "epoch": null, "name": "libfastjson", "release": "3.el7", "source": "rpm", "version": "0.99.4" } ], "libffi": [ { "arch": "x86_64", "epoch": null, "name": "libffi", "release": "19.el7", "source": "rpm", "version": "3.0.13" } ], "libgcc": [ { "arch": "x86_64", "epoch": null, "name": "libgcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgcrypt": [ { "arch": "x86_64", "epoch": null, "name": "libgcrypt", "release": "14.el7", "source": "rpm", "version": "1.5.3" } ], "libgomp": [ { "arch": "x86_64", "epoch": null, "name": "libgomp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgpg-error": [ { "arch": "x86_64", "epoch": null, "name": "libgpg-error", "release": "3.el7", "source": "rpm", "version": "1.12" } ], "libidn": [ { "arch": "x86_64", "epoch": null, "name": "libidn", "release": "4.el7", "source": "rpm", "version": "1.28" } ], "libini_config": [ { "arch": "x86_64", "epoch": null, "name": "libini_config", "release": "32.el7", "source": "rpm", "version": "1.3.1" } ], "libmnl": [ { "arch": "x86_64", "epoch": null, "name": "libmnl", "release": "7.el7", "source": "rpm", "version": "1.0.3" } ], "libmodulemd": [ { "arch": "x86_64", "epoch": null, "name": "libmodulemd", "release": "1.el7", "source": "rpm", "version": "1.6.3" } ], "libmount": [ { "arch": "x86_64", "epoch": null, "name": "libmount", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libmpc": [ { "arch": "x86_64", "epoch": null, "name": "libmpc", "release": "3.el7", "source": "rpm", "version": "1.0.1" } ], "libndp": [ { "arch": "x86_64", "epoch": null, "name": "libndp", "release": "9.el7", "source": "rpm", "version": "1.2" } ], "libnetfilter_conntrack": [ { "arch": "x86_64", "epoch": null, "name": "libnetfilter_conntrack", "release": "1.el7_3", "source": "rpm", "version": "1.0.6" } ], "libnfnetlink": [ { "arch": "x86_64", "epoch": null, "name": "libnfnetlink", "release": "4.el7", "source": "rpm", "version": "1.0.1" } ], "libnfsidmap": [ { "arch": "x86_64", "epoch": null, "name": "libnfsidmap", "release": "19.el7", "source": "rpm", "version": "0.25" } ], "libnl3": [ { "arch": "x86_64", "epoch": null, "name": "libnl3", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libnl3-cli": [ { "arch": "x86_64", "epoch": null, "name": "libnl3-cli", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libpath_utils": [ { "arch": "x86_64", "epoch": null, "name": "libpath_utils", "release": "32.el7", "source": "rpm", "version": "0.2.1" } ], "libpciaccess": [ { "arch": "x86_64", "epoch": null, "name": "libpciaccess", "release": "1.el7", "source": "rpm", "version": "0.14" } ], "libpipeline": [ { "arch": "x86_64", "epoch": null, "name": "libpipeline", "release": "3.el7", "source": "rpm", "version": "1.2.3" } ], "libpng": [ { "arch": "x86_64", "epoch": 2, "name": "libpng", "release": "8.el7", "source": "rpm", "version": "1.5.13" } ], "libpwquality": [ { "arch": "x86_64", "epoch": null, "name": "libpwquality", "release": "5.el7", "source": "rpm", "version": "1.2.3" } ], "libref_array": [ { "arch": "x86_64", "epoch": null, "name": "libref_array", "release": "32.el7", "source": "rpm", "version": "0.1.5" } ], "librepo": [ { "arch": "x86_64", "epoch": null, "name": "librepo", "release": "8.el7_9", "source": "rpm", "version": "1.8.1" } ], "libreport-filesystem": [ { "arch": "x86_64", "epoch": null, "name": "libreport-filesystem", "release": "53.el7.centos", "source": "rpm", "version": "2.1.11" } ], "libseccomp": [ { "arch": "x86_64", "epoch": null, "name": "libseccomp", "release": "4.el7", "source": "rpm", "version": "2.3.1" } ], "libselinux": [ { "arch": "x86_64", "epoch": null, "name": "libselinux", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-python": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-python", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-utils": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-utils", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libsemanage": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsemanage-python": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage-python", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsepol": [ { "arch": "x86_64", "epoch": null, "name": "libsepol", "release": "10.el7", "source": "rpm", "version": "2.5" } ], "libsmartcols": [ { "arch": "x86_64", "epoch": null, "name": "libsmartcols", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libsolv": [ { "arch": "x86_64", "epoch": null, "name": "libsolv", "release": "4.el7", "source": "rpm", "version": "0.6.34" } ], "libss": [ { "arch": "x86_64", "epoch": null, "name": "libss", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libssh2": [ { "arch": "x86_64", "epoch": null, "name": "libssh2", "release": "4.el7_9.1", "source": "rpm", "version": "1.8.0" } ], "libstdc++": [ { "arch": "x86_64", "epoch": null, "name": "libstdc++", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libsysfs": [ { "arch": "x86_64", "epoch": null, "name": "libsysfs", "release": "16.el7", "source": "rpm", "version": "2.1.0" } ], "libtasn1": [ { "arch": "x86_64", "epoch": null, "name": "libtasn1", "release": "1.el7", "source": "rpm", "version": "4.10" } ], "libteam": [ { "arch": "x86_64", "epoch": null, "name": "libteam", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "libtirpc": [ { "arch": "x86_64", "epoch": null, "name": "libtirpc", "release": "0.16.el7", "source": "rpm", "version": "0.2.4" } ], "libunistring": [ { "arch": "x86_64", "epoch": null, "name": "libunistring", "release": "9.el7", "source": "rpm", "version": "0.9.3" } ], "libuser": [ { "arch": "x86_64", "epoch": null, "name": "libuser", "release": "9.el7", "source": "rpm", "version": "0.60" } ], "libutempter": [ { "arch": "x86_64", "epoch": null, "name": "libutempter", "release": "4.el7", "source": "rpm", "version": "1.1.6" } ], "libuuid": [ { "arch": "x86_64", "epoch": null, "name": "libuuid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libverto": [ { "arch": "x86_64", "epoch": null, "name": "libverto", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libverto-libevent": [ { "arch": "x86_64", "epoch": null, "name": "libverto-libevent", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libxml2": [ { "arch": "x86_64", "epoch": null, "name": "libxml2", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxml2-python": [ { "arch": "x86_64", "epoch": null, "name": "libxml2-python", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxslt": [ { "arch": "x86_64", "epoch": null, "name": "libxslt", "release": "6.el7", "source": "rpm", "version": "1.1.28" } ], "libyaml": [ { "arch": "x86_64", "epoch": null, "name": "libyaml", "release": "11.el7_0", "source": "rpm", "version": "0.1.4" } ], "linux-firmware": [ { "arch": "noarch", "epoch": null, "name": "linux-firmware", "release": "82.git78c0348.el7_9", "source": "rpm", "version": "20200421" } ], "logrotate": [ { "arch": "x86_64", "epoch": null, "name": "logrotate", "release": "19.el7", "source": "rpm", "version": "3.8.6" } ], "lshw": [ { "arch": "x86_64", "epoch": null, "name": "lshw", "release": "0.1.20180614git028f6b2.beaker.1.el7bkr.1", "source": "rpm", "version": "B.02.19" } ], "lsscsi": [ { "arch": "x86_64", "epoch": null, "name": "lsscsi", "release": "6.el7", "source": "rpm", "version": "0.27" } ], "lua": [ { "arch": "x86_64", "epoch": null, "name": "lua", "release": "15.el7", "source": "rpm", "version": "5.1.4" } ], "lz4": [ { "arch": "x86_64", "epoch": null, "name": "lz4", "release": "1.el7", "source": "rpm", "version": "1.8.3" } ], "lzo": [ { "arch": "x86_64", "epoch": null, "name": "lzo", "release": "8.el7", "source": "rpm", "version": "2.06" } ], "mailcap": [ { "arch": "noarch", "epoch": null, "name": "mailcap", "release": "2.el7", "source": "rpm", "version": "2.1.41" } ], "make": [ { "arch": "x86_64", "epoch": 1, "name": "make", "release": "24.el7", "source": "rpm", "version": "3.82" } ], "man-db": [ { "arch": "x86_64", "epoch": null, "name": "man-db", "release": "11.el7", "source": "rpm", "version": "2.6.3" } ], "mariadb-libs": [ { "arch": "x86_64", "epoch": 1, "name": "mariadb-libs", "release": "1.el7", "source": "rpm", "version": "5.5.68" } ], "microcode_ctl": [ { "arch": "x86_64", "epoch": 2, "name": "microcode_ctl", "release": "73.20.el7_9", "source": "rpm", "version": "2.1" } ], "mokutil": [ { "arch": "x86_64", "epoch": null, "name": "mokutil", "release": "8.el7", "source": "rpm", "version": "15" } ], "mozjs17": [ { "arch": "x86_64", "epoch": null, "name": "mozjs17", "release": "20.el7", "source": "rpm", "version": "17.0.0" } ], "mpfr": [ { "arch": "x86_64", "epoch": null, "name": "mpfr", "release": "4.el7", "source": "rpm", "version": "3.1.1" } ], "ncurses": [ { "arch": "x86_64", "epoch": null, "name": "ncurses", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-base": [ { "arch": "noarch", "epoch": null, "name": "ncurses-base", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-libs": [ { "arch": "x86_64", "epoch": null, "name": "ncurses-libs", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "net-tools": [ { "arch": "x86_64", "epoch": null, "name": "net-tools", "release": "0.25.20131004git.el7", "source": "rpm", "version": "2.0" } ], "newt": [ { "arch": "x86_64", "epoch": null, "name": "newt", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "newt-python": [ { "arch": "x86_64", "epoch": null, "name": "newt-python", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "nfs-utils": [ { "arch": "x86_64", "epoch": 1, "name": "nfs-utils", "release": "0.68.el7.2", "source": "rpm", "version": "1.3.0" } ], "nspr": [ { "arch": "x86_64", "epoch": null, "name": "nspr", "release": "1.el7_9", "source": "rpm", "version": "4.35.0" } ], "nss": [ { "arch": "x86_64", "epoch": null, "name": "nss", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-pem": [ { "arch": "x86_64", "epoch": null, "name": "nss-pem", "release": "7.el7_9.1", "source": "rpm", "version": "1.0.3" } ], "nss-softokn": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-softokn-freebl": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn-freebl", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-sysinit": [ { "arch": "x86_64", "epoch": null, "name": "nss-sysinit", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-tools": [ { "arch": "x86_64", "epoch": null, "name": "nss-tools", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-util": [ { "arch": "x86_64", "epoch": null, "name": "nss-util", "release": "1.el7_9", "source": "rpm", "version": "3.90.0" } ], "ntpdate": [ { "arch": "x86_64", "epoch": null, "name": "ntpdate", "release": "29.el7.centos.2", "source": "rpm", "version": "4.2.6p5" } ], "numactl-libs": [ { "arch": "x86_64", "epoch": null, "name": "numactl-libs", "release": "5.el7", "source": "rpm", "version": "2.0.12" } ], "openldap": [ { "arch": "x86_64", "epoch": null, "name": "openldap", "release": "25.el7_9", "source": "rpm", "version": "2.4.44" } ], "openssh": [ { "arch": "x86_64", "epoch": null, "name": "openssh", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-clients": [ { "arch": "x86_64", "epoch": null, "name": "openssh-clients", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-server": [ { "arch": "x86_64", "epoch": null, "name": "openssh-server", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssl": [ { "arch": "x86_64", "epoch": 1, "name": "openssl", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "openssl-libs": [ { "arch": "x86_64", "epoch": 1, "name": "openssl-libs", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "os-prober": [ { "arch": "x86_64", "epoch": null, "name": "os-prober", "release": "9.el7", "source": "rpm", "version": "1.58" } ], "p11-kit": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "p11-kit-trust": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit-trust", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "pam": [ { "arch": "x86_64", "epoch": null, "name": "pam", "release": "23.el7", "source": "rpm", "version": "1.1.8" } ], "parted": [ { "arch": "x86_64", "epoch": null, "name": "parted", "release": "32.el7", "source": "rpm", "version": "3.1" } ], "passwd": [ { "arch": "x86_64", "epoch": null, "name": "passwd", "release": "6.el7", "source": "rpm", "version": "0.79" } ], "pciutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "pciutils-libs", "release": "3.el7", "source": "rpm", "version": "3.5.1" } ], "pcre": [ { "arch": "x86_64", "epoch": null, "name": "pcre", "release": "17.el7", "source": "rpm", "version": "8.32" } ], "perl": [ { "arch": "x86_64", "epoch": 4, "name": "perl", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-Business-ISBN": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN", "release": "2.el7", "source": "rpm", "version": "2.06" } ], "perl-Business-ISBN-Data": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN-Data", "release": "2.el7", "source": "rpm", "version": "20120719.001" } ], "perl-CGI": [ { "arch": "noarch", "epoch": null, "name": "perl-CGI", "release": "4.el7", "source": "rpm", "version": "3.63" } ], "perl-Carp": [ { "arch": "noarch", "epoch": null, "name": "perl-Carp", "release": "244.el7", "source": "rpm", "version": "1.26" } ], "perl-Compress-Raw-Bzip2": [ { "arch": "x86_64", "epoch": null, "name": "perl-Compress-Raw-Bzip2", "release": "3.el7", "source": "rpm", "version": "2.061" } ], "perl-Compress-Raw-Zlib": [ { "arch": "x86_64", "epoch": 1, "name": "perl-Compress-Raw-Zlib", "release": "4.el7", "source": "rpm", "version": "2.061" } ], "perl-Data-Dumper": [ { "arch": "x86_64", "epoch": null, "name": "perl-Data-Dumper", "release": "3.el7", "source": "rpm", "version": "2.145" } ], "perl-Date-Manip": [ { "arch": "noarch", "epoch": null, "name": "perl-Date-Manip", "release": "2.el7", "source": "rpm", "version": "6.41" } ], "perl-Digest": [ { "arch": "noarch", "epoch": null, "name": "perl-Digest", "release": "245.el7", "source": "rpm", "version": "1.17" } ], "perl-Digest-MD5": [ { "arch": "x86_64", "epoch": null, "name": "perl-Digest-MD5", "release": "3.el7", "source": "rpm", "version": "2.52" } ], "perl-Encode": [ { "arch": "x86_64", "epoch": null, "name": "perl-Encode", "release": "7.el7", "source": "rpm", "version": "2.51" } ], "perl-Encode-Locale": [ { "arch": "noarch", "epoch": null, "name": "perl-Encode-Locale", "release": "5.el7", "source": "rpm", "version": "1.03" } ], "perl-Error": [ { "arch": "noarch", "epoch": 1, "name": "perl-Error", "release": "2.el7", "source": "rpm", "version": "0.17020" } ], "perl-Exporter": [ { "arch": "noarch", "epoch": null, "name": "perl-Exporter", "release": "3.el7", "source": "rpm", "version": "5.68" } ], "perl-FCGI": [ { "arch": "x86_64", "epoch": 1, "name": "perl-FCGI", "release": "8.el7", "source": "rpm", "version": "0.74" } ], "perl-File-Listing": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Listing", "release": "7.el7", "source": "rpm", "version": "6.04" } ], "perl-File-Path": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Path", "release": "2.el7", "source": "rpm", "version": "2.09" } ], "perl-File-Temp": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Temp", "release": "3.el7", "source": "rpm", "version": "0.23.01" } ], "perl-Filter": [ { "arch": "x86_64", "epoch": null, "name": "perl-Filter", "release": "3.el7", "source": "rpm", "version": "1.49" } ], "perl-Font-AFM": [ { "arch": "noarch", "epoch": null, "name": "perl-Font-AFM", "release": "13.el7", "source": "rpm", "version": "1.20" } ], "perl-Getopt-Long": [ { "arch": "noarch", "epoch": null, "name": "perl-Getopt-Long", "release": "3.el7", "source": "rpm", "version": "2.40" } ], "perl-Git": [ { "arch": "noarch", "epoch": null, "name": "perl-Git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "perl-HTML-Format": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Format", "release": "7.el7", "source": "rpm", "version": "2.10" } ], "perl-HTML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-HTML-Parser", "release": "4.el7", "source": "rpm", "version": "3.71" } ], "perl-HTML-Tagset": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Tagset", "release": "15.el7", "source": "rpm", "version": "3.20" } ], "perl-HTML-Tree": [ { "arch": "noarch", "epoch": 1, "name": "perl-HTML-Tree", "release": "2.el7", "source": "rpm", "version": "5.03" } ], "perl-HTTP-Cookies": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Cookies", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Daemon": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Daemon", "release": "8.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Date": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Date", "release": "8.el7", "source": "rpm", "version": "6.02" } ], "perl-HTTP-Message": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Message", "release": "6.el7", "source": "rpm", "version": "6.06" } ], "perl-HTTP-Negotiate": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Negotiate", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Tiny": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Tiny", "release": "3.el7", "source": "rpm", "version": "0.033" } ], "perl-IO-Compress": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Compress", "release": "2.el7", "source": "rpm", "version": "2.061" } ], "perl-IO-HTML": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-HTML", "release": "2.el7", "source": "rpm", "version": "1.00" } ], "perl-IO-Socket-IP": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-IP", "release": "5.el7", "source": "rpm", "version": "0.21" } ], "perl-IO-Socket-SSL": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-SSL", "release": "7.el7", "source": "rpm", "version": "1.94" } ], "perl-IO-Zlib": [ { "arch": "noarch", "epoch": 1, "name": "perl-IO-Zlib", "release": "299.el7_9", "source": "rpm", "version": "1.10" } ], "perl-IO-stringy": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-stringy", "release": "22.el7", "source": "rpm", "version": "2.110" } ], "perl-LWP-MediaTypes": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-MediaTypes", "release": "2.el7", "source": "rpm", "version": "6.02" } ], "perl-LWP-Protocol-https": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-Protocol-https", "release": "4.el7", "source": "rpm", "version": "6.04" } ], "perl-Module-Load": [ { "arch": "noarch", "epoch": 1, "name": "perl-Module-Load", "release": "3.el7", "source": "rpm", "version": "0.24" } ], "perl-Mozilla-CA": [ { "arch": "noarch", "epoch": null, "name": "perl-Mozilla-CA", "release": "5.el7", "source": "rpm", "version": "20130114" } ], "perl-Net-HTTP": [ { "arch": "noarch", "epoch": null, "name": "perl-Net-HTTP", "release": "2.el7", "source": "rpm", "version": "6.06" } ], "perl-Net-LibIDN": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-LibIDN", "release": "15.el7", "source": "rpm", "version": "0.12" } ], "perl-Net-SSLeay": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-SSLeay", "release": "6.el7", "source": "rpm", "version": "1.55" } ], "perl-PathTools": [ { "arch": "x86_64", "epoch": null, "name": "perl-PathTools", "release": "5.el7", "source": "rpm", "version": "3.40" } ], "perl-Pod-Escapes": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Escapes", "release": "299.el7_9", "source": "rpm", "version": "1.04" } ], "perl-Pod-Perldoc": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Perldoc", "release": "4.el7", "source": "rpm", "version": "3.20" } ], "perl-Pod-Simple": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Simple", "release": "4.el7", "source": "rpm", "version": "3.28" } ], "perl-Pod-Usage": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Usage", "release": "3.el7", "source": "rpm", "version": "1.63" } ], "perl-Scalar-List-Utils": [ { "arch": "x86_64", "epoch": null, "name": "perl-Scalar-List-Utils", "release": "248.el7", "source": "rpm", "version": "1.27" } ], "perl-Socket": [ { "arch": "x86_64", "epoch": null, "name": "perl-Socket", "release": "5.el7", "source": "rpm", "version": "2.010" } ], "perl-Storable": [ { "arch": "x86_64", "epoch": null, "name": "perl-Storable", "release": "3.el7", "source": "rpm", "version": "2.45" } ], "perl-TermReadKey": [ { "arch": "x86_64", "epoch": null, "name": "perl-TermReadKey", "release": "20.el7", "source": "rpm", "version": "2.30" } ], "perl-Text-ParseWords": [ { "arch": "noarch", "epoch": null, "name": "perl-Text-ParseWords", "release": "4.el7", "source": "rpm", "version": "3.29" } ], "perl-Time-HiRes": [ { "arch": "x86_64", "epoch": 4, "name": "perl-Time-HiRes", "release": "3.el7", "source": "rpm", "version": "1.9725" } ], "perl-Time-Local": [ { "arch": "noarch", "epoch": null, "name": "perl-Time-Local", "release": "2.el7", "source": "rpm", "version": "1.2300" } ], "perl-TimeDate": [ { "arch": "noarch", "epoch": 1, "name": "perl-TimeDate", "release": "2.el7", "source": "rpm", "version": "2.30" } ], "perl-URI": [ { "arch": "noarch", "epoch": null, "name": "perl-URI", "release": "9.el7", "source": "rpm", "version": "1.60" } ], "perl-WWW-RobotRules": [ { "arch": "noarch", "epoch": null, "name": "perl-WWW-RobotRules", "release": "5.el7", "source": "rpm", "version": "6.02" } ], "perl-XML-LibXML": [ { "arch": "x86_64", "epoch": 1, "name": "perl-XML-LibXML", "release": "5.el7", "source": "rpm", "version": "2.0018" } ], "perl-XML-NamespaceSupport": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-NamespaceSupport", "release": "10.el7", "source": "rpm", "version": "1.11" } ], "perl-XML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-XML-Parser", "release": "10.el7", "source": "rpm", "version": "2.41" } ], "perl-XML-SAX": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX", "release": "9.el7", "source": "rpm", "version": "0.99" } ], "perl-XML-SAX-Base": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX-Base", "release": "7.el7", "source": "rpm", "version": "1.08" } ], "perl-XML-Twig": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-Twig", "release": "2.el7", "source": "rpm", "version": "3.44" } ], "perl-constant": [ { "arch": "noarch", "epoch": null, "name": "perl-constant", "release": "2.el7", "source": "rpm", "version": "1.27" } ], "perl-libs": [ { "arch": "x86_64", "epoch": 4, "name": "perl-libs", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-libwww-perl": [ { "arch": "noarch", "epoch": null, "name": "perl-libwww-perl", "release": "2.el7", "source": "rpm", "version": "6.05" } ], "perl-macros": [ { "arch": "x86_64", "epoch": 4, "name": "perl-macros", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-parent": [ { "arch": "noarch", "epoch": 1, "name": "perl-parent", "release": "244.el7", "source": "rpm", "version": "0.225" } ], "perl-podlators": [ { "arch": "noarch", "epoch": null, "name": "perl-podlators", "release": "3.el7", "source": "rpm", "version": "2.5.1" } ], "perl-threads": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads", "release": "4.el7", "source": "rpm", "version": "1.87" } ], "perl-threads-shared": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads-shared", "release": "6.el7", "source": "rpm", "version": "1.43" } ], "pinentry": [ { "arch": "x86_64", "epoch": null, "name": "pinentry", "release": "17.el7", "source": "rpm", "version": "0.8.1" } ], "pkgconfig": [ { "arch": "x86_64", "epoch": 1, "name": "pkgconfig", "release": "4.el7", "source": "rpm", "version": "0.27.1" } ], "plymouth-core-libs": [ { "arch": "x86_64", "epoch": null, "name": "plymouth-core-libs", "release": "0.34.20140113.el7.centos", "source": "rpm", "version": "0.8.9" } ], "policycoreutils": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "policycoreutils-python": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils-python", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "polkit": [ { "arch": "x86_64", "epoch": null, "name": "polkit", "release": "26.el7_9.1", "source": "rpm", "version": "0.112" } ], "polkit-pkla-compat": [ { "arch": "x86_64", "epoch": null, "name": "polkit-pkla-compat", "release": "4.el7", "source": "rpm", "version": "0.1" } ], "popt": [ { "arch": "x86_64", "epoch": null, "name": "popt", "release": "16.el7", "source": "rpm", "version": "1.13" } ], "postfix": [ { "arch": "x86_64", "epoch": 2, "name": "postfix", "release": "9.el7", "source": "rpm", "version": "2.10.1" } ], "procps-ng": [ { "arch": "x86_64", "epoch": null, "name": "procps-ng", "release": "28.el7", "source": "rpm", "version": "3.3.10" } ], "psmisc": [ { "arch": "x86_64", "epoch": null, "name": "psmisc", "release": "17.el7", "source": "rpm", "version": "22.20" } ], "pth": [ { "arch": "x86_64", "epoch": null, "name": "pth", "release": "23.el7", "source": "rpm", "version": "2.0.7" } ], "pygpgme": [ { "arch": "x86_64", "epoch": null, "name": "pygpgme", "release": "9.el7", "source": "rpm", "version": "0.3" } ], "pyliblzma": [ { "arch": "x86_64", "epoch": null, "name": "pyliblzma", "release": "11.el7", "source": "rpm", "version": "0.5.3" } ], "pyserial": [ { "arch": "noarch", "epoch": null, "name": "pyserial", "release": "6.el7", "source": "rpm", "version": "2.6" } ], "python": [ { "arch": "x86_64", "epoch": null, "name": "python", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-IPy": [ { "arch": "noarch", "epoch": null, "name": "python-IPy", "release": "6.el7", "source": "rpm", "version": "0.75" } ], "python-babel": [ { "arch": "noarch", "epoch": null, "name": "python-babel", "release": "8.el7", "source": "rpm", "version": "0.9.6" } ], "python-backports": [ { "arch": "x86_64", "epoch": null, "name": "python-backports", "release": "8.el7", "source": "rpm", "version": "1.0" } ], "python-backports-ssl_match_hostname": [ { "arch": "noarch", "epoch": null, "name": "python-backports-ssl_match_hostname", "release": "1.el7", "source": "rpm", "version": "3.5.0.1" } ], "python-chardet": [ { "arch": "noarch", "epoch": null, "name": "python-chardet", "release": "3.el7", "source": "rpm", "version": "2.2.1" } ], "python-configobj": [ { "arch": "noarch", "epoch": null, "name": "python-configobj", "release": "7.el7", "source": "rpm", "version": "4.7.2" } ], "python-decorator": [ { "arch": "noarch", "epoch": null, "name": "python-decorator", "release": "3.el7", "source": "rpm", "version": "3.4.0" } ], "python-deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "python-deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "python-enum34": [ { "arch": "noarch", "epoch": null, "name": "python-enum34", "release": "1.el7", "source": "rpm", "version": "1.0.4" } ], "python-firewall": [ { "arch": "noarch", "epoch": null, "name": "python-firewall", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "python-gobject-base": [ { "arch": "x86_64", "epoch": null, "name": "python-gobject-base", "release": "1.el7_4.1", "source": "rpm", "version": "3.22.0" } ], "python-iniparse": [ { "arch": "noarch", "epoch": null, "name": "python-iniparse", "release": "9.el7", "source": "rpm", "version": "0.4" } ], "python-ipaddress": [ { "arch": "noarch", "epoch": null, "name": "python-ipaddress", "release": "2.el7", "source": "rpm", "version": "1.0.16" } ], "python-jinja2": [ { "arch": "noarch", "epoch": null, "name": "python-jinja2", "release": "4.el7", "source": "rpm", "version": "2.7.2" } ], "python-jsonpatch": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpatch", "release": "4.el7", "source": "rpm", "version": "1.2" } ], "python-jsonpointer": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpointer", "release": "2.el7", "source": "rpm", "version": "1.9" } ], "python-kitchen": [ { "arch": "noarch", "epoch": null, "name": "python-kitchen", "release": "5.el7", "source": "rpm", "version": "1.1.1" } ], "python-libs": [ { "arch": "x86_64", "epoch": null, "name": "python-libs", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-linux-procfs": [ { "arch": "noarch", "epoch": null, "name": "python-linux-procfs", "release": "4.el7", "source": "rpm", "version": "0.4.11" } ], "python-lxml": [ { "arch": "x86_64", "epoch": null, "name": "python-lxml", "release": "4.el7", "source": "rpm", "version": "3.2.1" } ], "python-markupsafe": [ { "arch": "x86_64", "epoch": null, "name": "python-markupsafe", "release": "10.el7", "source": "rpm", "version": "0.11" } ], "python-perf": [ { "arch": "x86_64", "epoch": null, "name": "python-perf", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "python-prettytable": [ { "arch": "noarch", "epoch": null, "name": "python-prettytable", "release": "3.el7", "source": "rpm", "version": "0.7.2" } ], "python-pycurl": [ { "arch": "x86_64", "epoch": null, "name": "python-pycurl", "release": "19.el7", "source": "rpm", "version": "7.19.0" } ], "python-pyudev": [ { "arch": "noarch", "epoch": null, "name": "python-pyudev", "release": "9.el7", "source": "rpm", "version": "0.15" } ], "python-requests": [ { "arch": "noarch", "epoch": null, "name": "python-requests", "release": "10.el7", "source": "rpm", "version": "2.6.0" } ], "python-schedutils": [ { "arch": "x86_64", "epoch": null, "name": "python-schedutils", "release": "6.el7", "source": "rpm", "version": "0.4" } ], "python-setuptools": [ { "arch": "noarch", "epoch": null, "name": "python-setuptools", "release": "7.el7", "source": "rpm", "version": "0.9.8" } ], "python-six": [ { "arch": "noarch", "epoch": null, "name": "python-six", "release": "2.el7", "source": "rpm", "version": "1.9.0" } ], "python-slip": [ { "arch": "noarch", "epoch": null, "name": "python-slip", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-slip-dbus": [ { "arch": "noarch", "epoch": null, "name": "python-slip-dbus", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-urlgrabber": [ { "arch": "noarch", "epoch": null, "name": "python-urlgrabber", "release": "10.el7", "source": "rpm", "version": "3.10" } ], "python-urllib3": [ { "arch": "noarch", "epoch": null, "name": "python-urllib3", "release": "7.el7", "source": "rpm", "version": "1.10.2" } ], "python2-dnf": [ { "arch": "noarch", "epoch": null, "name": "python2-dnf", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "python2-hawkey": [ { "arch": "x86_64", "epoch": null, "name": "python2-hawkey", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "python2-libcomps": [ { "arch": "x86_64", "epoch": null, "name": "python2-libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "python2-libdnf": [ { "arch": "x86_64", "epoch": null, "name": "python2-libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "pyxattr": [ { "arch": "x86_64", "epoch": null, "name": "pyxattr", "release": "5.el7", "source": "rpm", "version": "0.5.1" } ], "qa-tools": [ { "arch": "noarch", "epoch": null, "name": "qa-tools", "release": "4.el7_9", "source": "rpm", "version": "4.1" } ], "qemu-guest-agent": [ { "arch": "x86_64", "epoch": 10, "name": "qemu-guest-agent", "release": "3.el7", "source": "rpm", "version": "2.12.0" } ], "qrencode-libs": [ { "arch": "x86_64", "epoch": null, "name": "qrencode-libs", "release": "3.el7", "source": "rpm", "version": "3.4.1" } ], "quota": [ { "arch": "x86_64", "epoch": 1, "name": "quota", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "quota-nls": [ { "arch": "noarch", "epoch": 1, "name": "quota-nls", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "readline": [ { "arch": "x86_64", "epoch": null, "name": "readline", "release": "11.el7", "source": "rpm", "version": "6.2" } ], "restraint": [ { "arch": "x86_64", "epoch": null, "name": "restraint", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "restraint-rhts": [ { "arch": "x86_64", "epoch": null, "name": "restraint-rhts", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "rng-tools": [ { "arch": "x86_64", "epoch": null, "name": "rng-tools", "release": "5.el7", "source": "rpm", "version": "6.3.1" } ], "rootfiles": [ { "arch": "noarch", "epoch": null, "name": "rootfiles", "release": "11.el7", "source": "rpm", "version": "8.1" } ], "rpcbind": [ { "arch": "x86_64", "epoch": null, "name": "rpcbind", "release": "49.el7", "source": "rpm", "version": "0.2.0" } ], "rpm": [ { "arch": "x86_64", "epoch": null, "name": "rpm", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-build-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-build-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-python": [ { "arch": "x86_64", "epoch": null, "name": "rpm-python", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rsync": [ { "arch": "x86_64", "epoch": null, "name": "rsync", "release": "12.el7_9", "source": "rpm", "version": "3.1.2" } ], "rsyslog": [ { "arch": "x86_64", "epoch": null, "name": "rsyslog", "release": "57.el7_9.3", "source": "rpm", "version": "8.24.0" } ], "ruby": [ { "arch": "x86_64", "epoch": null, "name": "ruby", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-irb": [ { "arch": "noarch", "epoch": null, "name": "ruby-irb", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-libs": [ { "arch": "x86_64", "epoch": null, "name": "ruby-libs", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "rubygem-bigdecimal": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-bigdecimal", "release": "39.el7_9", "source": "rpm", "version": "1.2.0" } ], "rubygem-io-console": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-io-console", "release": "39.el7_9", "source": "rpm", "version": "0.4.2" } ], "rubygem-json": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-json", "release": "39.el7_9", "source": "rpm", "version": "1.7.7" } ], "rubygem-psych": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-psych", "release": "39.el7_9", "source": "rpm", "version": "2.0.0" } ], "rubygem-rdoc": [ { "arch": "noarch", "epoch": null, "name": "rubygem-rdoc", "release": "39.el7_9", "source": "rpm", "version": "4.0.0" } ], "rubygems": [ { "arch": "noarch", "epoch": null, "name": "rubygems", "release": "39.el7_9", "source": "rpm", "version": "2.0.14.1" } ], "sed": [ { "arch": "x86_64", "epoch": null, "name": "sed", "release": "7.el7", "source": "rpm", "version": "4.2.2" } ], "selinux-policy": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "selinux-policy-targeted": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy-targeted", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "setools-libs": [ { "arch": "x86_64", "epoch": null, "name": "setools-libs", "release": "4.el7", "source": "rpm", "version": "3.3.8" } ], "setup": [ { "arch": "noarch", "epoch": null, "name": "setup", "release": "11.el7", "source": "rpm", "version": "2.8.71" } ], "sg3_utils": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "sg3_utils-libs": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils-libs", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "shadow-utils": [ { "arch": "x86_64", "epoch": 2, "name": "shadow-utils", "release": "5.el7", "source": "rpm", "version": "4.6" } ], "shared-mime-info": [ { "arch": "x86_64", "epoch": null, "name": "shared-mime-info", "release": "5.el7", "source": "rpm", "version": "1.8" } ], "slang": [ { "arch": "x86_64", "epoch": null, "name": "slang", "release": "11.el7", "source": "rpm", "version": "2.2.4" } ], "snappy": [ { "arch": "x86_64", "epoch": null, "name": "snappy", "release": "3.el7", "source": "rpm", "version": "1.1.0" } ], "sqlite": [ { "arch": "x86_64", "epoch": null, "name": "sqlite", "release": "8.el7_7.1", "source": "rpm", "version": "3.7.17" } ], "strace": [ { "arch": "x86_64", "epoch": null, "name": "strace", "release": "7.el7_9", "source": "rpm", "version": "4.24" } ], "sudo": [ { "arch": "x86_64", "epoch": null, "name": "sudo", "release": "10.el7_9.3", "source": "rpm", "version": "1.8.23" } ], "systemd": [ { "arch": "x86_64", "epoch": null, "name": "systemd", "release": "78.el7_9.9", "source": "rpm", "version": "219" } ], "systemd-libs": [ { "arch": "x86_64", "epoch": null, "name": "systemd-libs", "release": "78.el7_9.9", "source": "rpm", "version": "219" } ], "systemd-sysv": [ { "arch": "x86_64", "epoch": null, "name": "systemd-sysv", "release": "78.el7_9.9", "source": "rpm", "version": "219" } ], "systemtap": [ { "arch": "x86_64", "epoch": null, "name": "systemtap", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-client": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-client", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-devel": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-devel", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-runtime": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-runtime", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "sysvinit-tools": [ { "arch": "x86_64", "epoch": null, "name": "sysvinit-tools", "release": "14.dsf.el7", "source": "rpm", "version": "2.88" } ], "tar": [ { "arch": "x86_64", "epoch": 2, "name": "tar", "release": "35.el7", "source": "rpm", "version": "1.26" } ], "tcp_wrappers": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "tcp_wrappers-libs": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers-libs", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "teamd": [ { "arch": "x86_64", "epoch": null, "name": "teamd", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "time": [ { "arch": "x86_64", "epoch": null, "name": "time", "release": "45.el7", "source": "rpm", "version": "1.7" } ], "tps-devel": [ { "arch": "noarch", "epoch": null, "name": "tps-devel", "release": "1", "source": "rpm", "version": "2.44.50" } ], "tuned": [ { "arch": "noarch", "epoch": null, "name": "tuned", "release": "12.el7_9", "source": "rpm", "version": "2.11.0" } ], "tzdata": [ { "arch": "noarch", "epoch": null, "name": "tzdata", "release": "1.el7", "source": "rpm", "version": "2024a" } ], "unzip": [ { "arch": "x86_64", "epoch": null, "name": "unzip", "release": "24.el7_9", "source": "rpm", "version": "6.0" } ], "ustr": [ { "arch": "x86_64", "epoch": null, "name": "ustr", "release": "16.el7", "source": "rpm", "version": "1.0.4" } ], "util-linux": [ { "arch": "x86_64", "epoch": null, "name": "util-linux", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "vim-common": [ { "arch": "x86_64", "epoch": 2, "name": "vim-common", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-enhanced": [ { "arch": "x86_64", "epoch": 2, "name": "vim-enhanced", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-filesystem": [ { "arch": "x86_64", "epoch": 2, "name": "vim-filesystem", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-minimal": [ { "arch": "x86_64", "epoch": 2, "name": "vim-minimal", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "virt-what": [ { "arch": "x86_64", "epoch": null, "name": "virt-what", "release": "4.el7_9.1", "source": "rpm", "version": "1.18" } ], "wget": [ { "arch": "x86_64", "epoch": null, "name": "wget", "release": "18.el7_6.1", "source": "rpm", "version": "1.14" } ], "which": [ { "arch": "x86_64", "epoch": null, "name": "which", "release": "7.el7", "source": "rpm", "version": "2.20" } ], "wpa_supplicant": [ { "arch": "x86_64", "epoch": 1, "name": "wpa_supplicant", "release": "12.el7_9.2", "source": "rpm", "version": "2.6" } ], "xfsprogs": [ { "arch": "x86_64", "epoch": null, "name": "xfsprogs", "release": "22.el7", "source": "rpm", "version": "4.5.0" } ], "xz": [ { "arch": "x86_64", "epoch": null, "name": "xz", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "xz-libs": [ { "arch": "x86_64", "epoch": null, "name": "xz-libs", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "yum": [ { "arch": "noarch", "epoch": null, "name": "yum", "release": "168.el7.centos", "source": "rpm", "version": "3.4.3" } ], "yum-metadata-parser": [ { "arch": "x86_64", "epoch": null, "name": "yum-metadata-parser", "release": "10.el7", "source": "rpm", "version": "1.1.4" } ], "yum-plugin-fastestmirror": [ { "arch": "noarch", "epoch": null, "name": "yum-plugin-fastestmirror", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "yum-utils": [ { "arch": "noarch", "epoch": null, "name": "yum-utils", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "zip": [ { "arch": "x86_64", "epoch": null, "name": "zip", "release": "11.el7", "source": "rpm", "version": "3.0" } ], "zlib": [ { "arch": "x86_64", "epoch": null, "name": "zlib", "release": "21.el7_9", "source": "rpm", "version": "1.2.7" } ] } }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog version] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:48 Saturday 24 February 2024 08:47:22 +0000 (0:00:00.971) 0:01:01.047 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_version": "8.24.0" }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 1] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:55 Saturday 24 February 2024 08:47:22 +0000 (0:00:00.030) 0:01:01.077 ***** ok: [sut] => {} MSG: Rsyslog_version is 8.24.0, which is older than "8.37.0-7.2". TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 2] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:60 Saturday 24 February 2024 08:47:22 +0000 (0:00:00.038) 0:01:01.115 ***** skipping: [sut] => { "false_condition": "__rsyslog_version is version('8.37.0-7.2', '>')" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set __rsyslog_failed_validation] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:68 Saturday 24 February 2024 08:47:22 +0000 (0:00:00.074) 0:01:01.189 ***** skipping: [sut] => { "changed": false, "false_condition": "rsyslog_in_image | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create the config directory if it does not exist] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:76 Saturday 24 February 2024 08:47:22 +0000 (0:00:00.026) 0:01:01.216 ***** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/etc/rsyslog.d", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create a work directory] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:83 Saturday 24 February 2024 08:47:23 +0000 (0:00:00.162) 0:01:01.378 ***** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0700", "owner": "root", "path": "/var/lib/rsyslog", "secontext": "system_u:object_r:syslogd_var_lib_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create logging directory if it does not exist or the ownership and/or modes are different.] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:89 Saturday 24 February 2024 08:47:23 +0000 (0:00:00.156) 0:01:01.535 ***** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/var/log", "secontext": "system_u:object_r:var_log_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate global rule to add to __rsyslog_common_rules] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:97 Saturday 24 February 2024 08:47:23 +0000 (0:00:00.160) 0:01:01.695 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_common_rules": [ { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate common rsyslog configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:120 Saturday 24 February 2024 08:47:23 +0000 (0:00:00.078) 0:01:01.773 ***** skipping: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Initialize list of template results] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:144 Saturday 24 February 2024 08:47:23 +0000 (0:00:00.062) 0:01:01.836 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove common config files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:148 Saturday 24 February 2024 08:47:23 +0000 (0:00:00.035) 0:01:01.871 ***** skipping: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include input sub-vars] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:166 Saturday 24 February 2024 08:47:23 +0000 (0:00:00.063) 0:01:01.935 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run input sub-tasks] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:177 Saturday 24 February 2024 08:47:23 +0000 (0:00:00.016) 0:01:01.952 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include output sub-vars] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:192 Saturday 24 February 2024 08:47:23 +0000 (0:00:00.029) 0:01:01.982 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run output sub-tasks] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:204 Saturday 24 February 2024 08:47:23 +0000 (0:00:00.019) 0:01:02.002 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog config files not owned by any package] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:217 Saturday 24 February 2024 08:47:23 +0000 (0:00:00.018) 0:01:02.020 ***** ok: [sut] => { "changed": false, "cmd": "set -euo pipefail\nfor conf in $( ls \"/etc/rsyslog.d\" ); do\n rstr=$( rpm -qf \"/etc/rsyslog.d/$conf\" 2>&1 || : )\n if [[ \"$rstr\" == *\"not owned by any package\"* ]]; then\n echo \"/etc/rsyslog.d/$conf\"\n fi\ndone\n", "delta": "0:00:00.382818", "end": "2024-02-24 08:47:24.186388", "failed_when_result": false, "rc": 0, "start": "2024-02-24 08:47:23.803570" } STDOUT: /etc/rsyslog.d/00-global.conf /etc/rsyslog.d/05-common-defaults.conf /etc/rsyslog.d/10-input-files-modules.conf /etc/rsyslog.d/10-output-files-modules.conf /etc/rsyslog.d/10-output-forwards-modules.conf /etc/rsyslog.d/30-output-files-files_test0.conf /etc/rsyslog.d/30-output-files-files_test1.conf /etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf /etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf /etc/rsyslog.d/90-input-basics-basic_input.conf /etc/rsyslog.d/90-input-files-files_input.conf TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Purge - remove files not generated by current state] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:234 Saturday 24 February 2024 08:47:24 +0000 (0:00:00.529) 0:01:02.550 ***** changed: [sut] => (item=/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "path": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/10-output-forwards-modules.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/10-output-forwards-modules.conf", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/10-input-files-modules.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/10-input-files-modules.conf", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "path": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/90-input-files-files_input.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/90-input-files-files_input.conf", "path": "/etc/rsyslog.d/90-input-files-files_input.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/30-output-files-files_test1.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/30-output-files-files_test1.conf", "path": "/etc/rsyslog.d/30-output-files-files_test1.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/90-input-basics-basic_input.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "path": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/10-output-files-modules.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/10-output-files-modules.conf", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/05-common-defaults.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/05-common-defaults.conf", "path": "/etc/rsyslog.d/05-common-defaults.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/30-output-files-files_test0.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/30-output-files-files_test0.conf", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/00-global.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/00-global.conf", "path": "/etc/rsyslog.d/00-global.conf", "state": "absent" } Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy custom config files if they are specified in rsyslog_custom_config_files variable array.] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:254 Saturday 24 February 2024 08:47:25 +0000 (0:00:01.527) 0:01:04.077 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check tls is enabled in forwards output or remote input and logging_pki_files] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:275 Saturday 24 February 2024 08:47:25 +0000 (0:00:00.026) 0:01:04.104 ***** skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local ca_cert file to the target if needed] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:283 Saturday 24 February 2024 08:47:25 +0000 (0:00:00.041) 0:01:04.145 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local cert file to the target if needed] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:295 Saturday 24 February 2024 08:47:25 +0000 (0:00:00.031) 0:01:04.176 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local key file to the target if needed] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:307 Saturday 24 February 2024 08:47:25 +0000 (0:00:00.038) 0:01:04.215 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Enable rsyslog service] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:319 Saturday 24 February 2024 08:47:25 +0000 (0:00:00.050) 0:01:04.266 ***** changed: [sut] => { "changed": true, "enabled": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestampMonotonic": "0", "ActiveExitTimestampMonotonic": "0", "ActiveState": "inactive", "After": "system.slice basic.target network.target network-online.target", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "no", "AssertTimestampMonotonic": "0", "Before": "shutdown.target multi-user.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "no", "ConditionTimestampMonotonic": "0", "Conflicts": "shutdown.target", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "0", "ExecMainStartTimestampMonotonic": "0", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[n/a] ; stop_time=[n/a] ; pid=0 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestampMonotonic": "0", "InactiveExitTimestampMonotonic": "0", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "0", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "basic.target system.slice", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "dead", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network.target network-online.target", "WatchdogTimestampMonotonic": "0", "WatchdogUSec": "0" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Disable rsyslog service] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:328 Saturday 24 February 2024 08:47:26 +0000 (0:00:00.240) 0:01:04.506 ***** skipping: [sut] => { "changed": false, "false_condition": "not __rsyslog_enabled | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:337 Saturday 24 February 2024 08:47:26 +0000 (0:00:00.028) 0:01:04.534 ***** skipping: [sut] => { "false_condition": "__rsyslog_failed_validation | d(false)" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : See if there are any config files] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:349 Saturday 24 February 2024 08:47:26 +0000 (0:00:00.028) 0:01:04.563 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_generate_conf | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get mode of rsyslog.conf if it exists] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:356 Saturday 24 February 2024 08:47:26 +0000 (0:00:00.125) 0:01:04.689 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_generate_conf | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate main rsyslog configuration] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:362 Saturday 24 February 2024 08:47:26 +0000 (0:00:00.029) 0:01:04.718 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_generate_conf | bool", "skip_reason": "Conditional result was False" } TASK [Force all notified handlers to run at this point, not waiting for normal sync points] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:371 Saturday 24 February 2024 08:47:26 +0000 (0:00:00.044) 0:01:04.763 ***** NOTIFIED HANDLER fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd for sut META: triggered running handlers for sut RUNNING HANDLER [fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/handlers/main.yml:2 Saturday 24 February 2024 08:47:26 +0000 (0:00:00.015) 0:01:04.779 ***** changed: [sut] => { "changed": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestamp": "Sat 2024-02-24 08:47:26 UTC", "ActiveEnterTimestampMonotonic": "1262183372", "ActiveExitTimestampMonotonic": "0", "ActiveState": "active", "After": "system.slice basic.target network.target network-online.target", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "yes", "AssertTimestamp": "Sat 2024-02-24 08:47:26 UTC", "AssertTimestampMonotonic": "1262177140", "Before": "shutdown.target multi-user.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "yes", "ConditionTimestamp": "Sat 2024-02-24 08:47:26 UTC", "ConditionTimestampMonotonic": "1262177140", "Conflicts": "shutdown.target", "ControlGroup": "/system.slice/rsyslog.service", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "14298", "ExecMainStartTimestamp": "Sat 2024-02-24 08:47:26 UTC", "ExecMainStartTimestampMonotonic": "1262178089", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[Sat 2024-02-24 08:47:26 UTC] ; stop_time=[n/a] ; pid=14298 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestampMonotonic": "0", "InactiveExitTimestamp": "Sat 2024-02-24 08:47:26 UTC", "InactiveExitTimestampMonotonic": "1262178138", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "14298", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "basic.target system.slice", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "running", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network.target network-online.target", "WatchdogTimestamp": "Sat 2024-02-24 08:47:26 UTC", "WatchdogTimestampMonotonic": "1262183345", "WatchdogUSec": "0" } } TASK [Check ports managed by firewall and selinux] ***************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:374 Saturday 24 February 2024 08:47:26 +0000 (0:00:00.229) 0:01:05.008 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml for sut TASK [Check ports are not retrieved if both logging_manage_firewall and logging_manage_selinux are not true] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:3 Saturday 24 February 2024 08:47:26 +0000 (0:00:00.066) 0:01:05.074 ***** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check firewall port status (manage - tcp)] ******************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:13 Saturday 24 February 2024 08:47:26 +0000 (0:00:00.054) 0:01:05.128 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check firewall port status (manage - udp)] ******************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:22 Saturday 24 February 2024 08:47:26 +0000 (0:00:00.014) 0:01:05.143 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - tcp)] *************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:34 Saturday 24 February 2024 08:47:26 +0000 (0:00:00.027) 0:01:05.170 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - udp)] *************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:44 Saturday 24 February 2024 08:47:26 +0000 (0:00:00.026) 0:01:05.197 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [TEST CASE 2; Absent state test - Running combination configured logging role twice] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:378 Saturday 24 February 2024 08:47:26 +0000 (0:00:00.015) 0:01:05.213 ***** TASK [fedora.linux_system_roles.logging : Set files output if files output is not defined and logging_inputs is not empty] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:7 Saturday 24 February 2024 08:47:26 +0000 (0:00:00.078) 0:01:05.291 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_outputs | d([]) | selectattr('name', 'defined') | selectattr('type', 'defined') | selectattr('type', 'match', '^files$') | list | length == 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Set rsyslog_outputs] ***************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:14 Saturday 24 February 2024 08:47:27 +0000 (0:00:00.054) 0:01:05.345 ***** ok: [sut] => { "ansible_facts": { "rsyslog_outputs": [ { "exclude": [ "authpriv.none", "auth.none", "cron.none", "mail.none" ], "name": "files_test0", "path": "/var/log/messages", "severity": "info", "type": "files" }, { "facility": "authpriv,auth", "name": "files_test1", "path": "/var/log/secure", "type": "files" }, { "facility": "local1", "name": "forwards_severity_and_facility", "severity": "info", "target": "host.domain", "tcp_port": 1514, "type": "forwards" }, { "facility": "local2", "name": "forwards_facility_only", "target": "host.domain", "tcp_port": 2514, "type": "forwards" } ] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set rsyslog_inputs] ****************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:18 Saturday 24 February 2024 08:47:27 +0000 (0:00:00.039) 0:01:05.385 ***** ok: [sut] => { "ansible_facts": { "rsyslog_inputs": [ { "name": "basic_input", "ratelimit_burst": 33333, "type": "basics" }, { "input_log_path": "/var/log/inputdirectory/*.log", "name": "files_input", "type": "files" } ] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set custom_config_files fact] ******** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:22 Saturday 24 February 2024 08:47:27 +0000 (0:00:00.044) 0:01:05.429 ***** skipping: [sut] => (item={'name': 'files_test0', 'type': 'files', 'severity': 'info', 'exclude': ['authpriv.none', 'auth.none', 'cron.none', 'mail.none'], 'path': '/var/log/messages'}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "exclude": [ "authpriv.none", "auth.none", "cron.none", "mail.none" ], "name": "files_test0", "path": "/var/log/messages", "severity": "info", "type": "files" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'files_test1', 'type': 'files', 'facility': 'authpriv,auth', 'path': '/var/log/secure'}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "facility": "authpriv,auth", "name": "files_test1", "path": "/var/log/secure", "type": "files" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'forwards_severity_and_facility', 'type': 'forwards', 'facility': 'local1', 'severity': 'info', 'target': 'host.domain', 'tcp_port': 1514}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "facility": "local1", "name": "forwards_severity_and_facility", "severity": "info", "target": "host.domain", "tcp_port": 1514, "type": "forwards" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'forwards_facility_only', 'type': 'forwards', 'facility': 'local2', 'target': 'host.domain', 'tcp_port': 2514}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "facility": "local2", "name": "forwards_facility_only", "target": "host.domain", "tcp_port": 2514, "type": "forwards" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.logging : Check logging_inputs item in logging_flows.inputs] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:34 Saturday 24 February 2024 08:47:27 +0000 (0:00:00.049) 0:01:05.479 ***** skipping: [sut] => (item={'name': 'flow_0', 'inputs': ['basic_input'], 'outputs': ['files_test0', 'files_test1', 'forwards_severity_and_facility', 'forwards_facility_only']}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.inputs | intersect(__logging_input_names) | length != item.inputs | length", "item": { "inputs": [ "basic_input" ], "name": "flow_0", "outputs": [ "files_test0", "files_test1", "forwards_severity_and_facility", "forwards_facility_only" ] }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'flow_1', 'inputs': ['files_input'], 'outputs': ['files_test0', 'files_test1']}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.inputs | intersect(__logging_input_names) | length != item.inputs | length", "item": { "inputs": [ "files_input" ], "name": "flow_1", "outputs": [ "files_test0", "files_test1" ] }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.logging : Gather ports specified in the logging_inputs and outputs vars] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:44 Saturday 24 February 2024 08:47:27 +0000 (0:00:00.103) 0:01:05.582 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_tls_tcp_ports] **** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:3 Saturday 24 February 2024 08:47:27 +0000 (0:00:00.045) 0:01:05.627 ***** ok: [sut] => { "ansible_facts": { "logging_tls_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tcp_ports] ******** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:7 Saturday 24 February 2024 08:47:27 +0000 (0:00:00.032) 0:01:05.660 ***** ok: [sut] => { "ansible_facts": { "logging_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tls_udp_ports] **** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:11 Saturday 24 February 2024 08:47:27 +0000 (0:00:00.034) 0:01:05.695 ***** ok: [sut] => { "ansible_facts": { "logging_tls_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_udp_ports] ******** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:15 Saturday 24 February 2024 08:47:27 +0000 (0:00:00.030) 0:01:05.726 ***** ok: [sut] => { "ansible_facts": { "logging_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Parameter 'port' values] ************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:24 Saturday 24 February 2024 08:47:27 +0000 (0:00:00.039) 0:01:05.765 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:88 Saturday 24 February 2024 08:47:27 +0000 (0:00:00.055) 0:01:05.820 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:92 Saturday 24 February 2024 08:47:27 +0000 (0:00:00.051) 0:01:05.872 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:96 Saturday 24 February 2024 08:47:27 +0000 (0:00:00.037) 0:01:05.910 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:100 Saturday 24 February 2024 08:47:27 +0000 (0:00:00.036) 0:01:05.947 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:104 Saturday 24 February 2024 08:47:27 +0000 (0:00:00.037) 0:01:05.985 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:108 Saturday 24 February 2024 08:47:27 +0000 (0:00:00.060) 0:01:06.045 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:153 Saturday 24 February 2024 08:47:27 +0000 (0:00:00.030) 0:01:06.075 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:157 Saturday 24 February 2024 08:47:27 +0000 (0:00:00.038) 0:01:06.113 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:161 Saturday 24 February 2024 08:47:27 +0000 (0:00:00.030) 0:01:06.143 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:165 Saturday 24 February 2024 08:47:27 +0000 (0:00:00.033) 0:01:06.177 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage firewall on the gathered ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:47 Saturday 24 February 2024 08:47:27 +0000 (0:00:00.034) 0:01:06.211 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_firewall_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:7 Saturday 24 February 2024 08:47:27 +0000 (0:00:00.047) 0:01:06.259 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add tcp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:11 Saturday 24 February 2024 08:47:27 +0000 (0:00:00.033) 0:01:06.292 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add udp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:17 Saturday 24 February 2024 08:47:27 +0000 (0:00:00.027) 0:01:06.320 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage firewall for specified ports] ************************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:23 Saturday 24 February 2024 08:47:28 +0000 (0:00:00.029) 0:01:06.349 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage selinux on the gathered ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:50 Saturday 24 February 2024 08:47:28 +0000 (0:00:00.134) 0:01:06.483 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_selinux_ports] **** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:7 Saturday 24 February 2024 08:47:28 +0000 (0:00:00.056) 0:01:06.540 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add non tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:11 Saturday 24 February 2024 08:47:28 +0000 (0:00:00.032) 0:01:06.572 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:16 Saturday 24 February 2024 08:47:28 +0000 (0:00:00.023) 0:01:06.595 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add non tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:21 Saturday 24 February 2024 08:47:28 +0000 (0:00:00.017) 0:01:06.613 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:26 Saturday 24 February 2024 08:47:28 +0000 (0:00:00.019) 0:01:06.632 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage selinux for specified ports] ************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:31 Saturday 24 February 2024 08:47:28 +0000 (0:00:00.018) 0:01:06.651 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Generate certificates] *************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:54 Saturday 24 February 2024 08:47:28 +0000 (0:00:00.029) 0:01:06.680 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml for sut TASK [Generate certificates] *************************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml:2 Saturday 24 February 2024 08:47:28 +0000 (0:00:00.058) 0:01:06.739 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_certificates | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Re-read facts after adding custom fact] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:60 Saturday 24 February 2024 08:47:28 +0000 (0:00:00.041) 0:01:06.780 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug dir] ************ task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:64 Saturday 24 February 2024 08:47:28 +0000 (0:00:00.059) 0:01:06.840 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Delete debug file] ******************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:70 Saturday 24 February 2024 08:47:28 +0000 (0:00:00.045) 0:01:06.886 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug file] *********** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:75 Saturday 24 February 2024 08:47:28 +0000 (0:00:00.041) 0:01:06.927 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Use a debug var to avoid an empty dict in with_dict] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:82 Saturday 24 February 2024 08:47:28 +0000 (0:00:00.031) 0:01:06.958 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Populate rsyslog debug file] ********* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:86 Saturday 24 February 2024 08:47:28 +0000 (0:00:00.029) 0:01:06.988 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [Include Rsyslog role] **************************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:97 Saturday 24 February 2024 08:47:28 +0000 (0:00:00.045) 0:01:07.034 ***** TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:4 Saturday 24 February 2024 08:47:28 +0000 (0:00:00.046) 0:01:07.080 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Ensure ansible_facts used by role] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:4 Saturday 24 February 2024 08:47:28 +0000 (0:00:00.078) 0:01:07.159 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_required_facts | difference(ansible_facts.keys() | list) | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check if system is ostree] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:12 Saturday 24 February 2024 08:47:28 +0000 (0:00:00.032) 0:01:07.191 ***** skipping: [sut] => { "changed": false, "false_condition": "not __logging_is_ostree is defined", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set flag to indicate system is ostree] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:17 Saturday 24 February 2024 08:47:28 +0000 (0:00:00.029) 0:01:07.221 ***** skipping: [sut] => { "changed": false, "false_condition": "not __logging_is_ostree is defined", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:21 Saturday 24 February 2024 08:47:28 +0000 (0:00:00.022) 0:01:07.244 ***** ok: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml", "skip_reason": "Conditional result was False" } ok: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics_rhel7.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include /vars/main.yml from /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:31 Saturday 24 February 2024 08:47:28 +0000 (0:00:00.060) 0:01:07.305 ***** skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Main rsyslog subrole] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:7 Saturday 24 February 2024 08:47:29 +0000 (0:00:00.087) 0:01:07.392 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get status of rsyslog packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:15 Saturday 24 February 2024 08:47:29 +0000 (0:00:00.068) 0:01:07.460 ***** skipping: [sut] => (item=iproute) => { "ansible_loop_var": "item", "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "item": "iproute", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=rsyslog) => { "ansible_loop_var": "item", "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "item": "rsyslog", "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset original confs - logging package is absent] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:22 Saturday 24 February 2024 08:47:29 +0000 (0:00:00.036) 0:01:07.497 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:30 Saturday 24 February 2024 08:47:29 +0000 (0:00:00.059) 0:01:07.557 ***** ok: [sut] => { "changed": false, "rc": 0, "results": [ "iproute-4.11.0-30.el7.x86_64 providing iproute is already installed", "rsyslog-8.24.0-57.el7_9.3.x86_64 providing rsyslog is already installed" ] } lsrpackages: iproute rsyslog TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset erased flag] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:40 Saturday 24 February 2024 08:47:29 +0000 (0:00:00.380) 0:01:07.937 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_erased": false }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 Saturday 24 February 2024 08:47:29 +0000 (0:00:00.021) 0:01:07.959 ***** ok: [sut] => { "ansible_facts": { "packages": { "NetworkManager": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-libnm": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-libnm", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-team": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-team", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-tui": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-tui", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "PyYAML": [ { "arch": "x86_64", "epoch": null, "name": "PyYAML", "release": "11.el7", "source": "rpm", "version": "3.10" } ], "acl": [ { "arch": "x86_64", "epoch": null, "name": "acl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "aic94xx-firmware": [ { "arch": "noarch", "epoch": null, "name": "aic94xx-firmware", "release": "6.el7", "source": "rpm", "version": "30" } ], "alsa-firmware": [ { "arch": "noarch", "epoch": null, "name": "alsa-firmware", "release": "2.el7", "source": "rpm", "version": "1.0.28" } ], "alsa-lib": [ { "arch": "x86_64", "epoch": null, "name": "alsa-lib", "release": "1.el7", "source": "rpm", "version": "1.1.8" } ], "alsa-tools-firmware": [ { "arch": "x86_64", "epoch": null, "name": "alsa-tools-firmware", "release": "1.el7", "source": "rpm", "version": "1.1.0" } ], "aspell": [ { "arch": "x86_64", "epoch": 12, "name": "aspell", "release": "9.el7", "source": "rpm", "version": "0.60.6.1" } ], "audit": [ { "arch": "x86_64", "epoch": null, "name": "audit", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs-python": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs-python", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "authconfig": [ { "arch": "x86_64", "epoch": null, "name": "authconfig", "release": "30.el7", "source": "rpm", "version": "6.2.8" } ], "avahi-libs": [ { "arch": "x86_64", "epoch": null, "name": "avahi-libs", "release": "20.el7", "source": "rpm", "version": "0.6.31" } ], "basesystem": [ { "arch": "noarch", "epoch": null, "name": "basesystem", "release": "7.el7.centos", "source": "rpm", "version": "10.0" } ], "bash": [ { "arch": "x86_64", "epoch": null, "name": "bash", "release": "35.el7_9", "source": "rpm", "version": "4.2.46" } ], "bc": [ { "arch": "x86_64", "epoch": null, "name": "bc", "release": "13.el7", "source": "rpm", "version": "1.06.95" } ], "bind-export-libs": [ { "arch": "x86_64", "epoch": 32, "name": "bind-export-libs", "release": "26.P2.el7_9.15", "source": "rpm", "version": "9.11.4" } ], "binutils": [ { "arch": "x86_64", "epoch": null, "name": "binutils", "release": "44.base.el7_9.1", "source": "rpm", "version": "2.27" } ], "biosdevname": [ { "arch": "x86_64", "epoch": null, "name": "biosdevname", "release": "2.el7", "source": "rpm", "version": "0.7.3" } ], "boost-date-time": [ { "arch": "x86_64", "epoch": null, "name": "boost-date-time", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-system": [ { "arch": "x86_64", "epoch": null, "name": "boost-system", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-thread": [ { "arch": "x86_64", "epoch": null, "name": "boost-thread", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "btrfs-progs": [ { "arch": "x86_64", "epoch": null, "name": "btrfs-progs", "release": "1.el7", "source": "rpm", "version": "4.9.1" } ], "bzip2-libs": [ { "arch": "x86_64", "epoch": null, "name": "bzip2-libs", "release": "13.el7", "source": "rpm", "version": "1.0.6" } ], "ca-certificates": [ { "arch": "noarch", "epoch": null, "name": "ca-certificates", "release": "72.el7_9", "source": "rpm", "version": "2023.2.60_v7.0.306" } ], "centos-logos": [ { "arch": "noarch", "epoch": null, "name": "centos-logos", "release": "3.el7.centos", "source": "rpm", "version": "70.0.6" } ], "centos-release": [ { "arch": "x86_64", "epoch": null, "name": "centos-release", "release": "9.2009.1.el7.centos", "source": "rpm", "version": "7" } ], "checkpolicy": [ { "arch": "x86_64", "epoch": null, "name": "checkpolicy", "release": "8.el7", "source": "rpm", "version": "2.5" } ], "chkconfig": [ { "arch": "x86_64", "epoch": null, "name": "chkconfig", "release": "1.el7", "source": "rpm", "version": "1.7.6" } ], "chrony": [ { "arch": "x86_64", "epoch": null, "name": "chrony", "release": "1.el7", "source": "rpm", "version": "3.4" } ], "cloud-utils-growpart": [ { "arch": "noarch", "epoch": null, "name": "cloud-utils-growpart", "release": "5.el7", "source": "rpm", "version": "0.29" } ], "coreutils": [ { "arch": "x86_64", "epoch": null, "name": "coreutils", "release": "24.el7_9.2", "source": "rpm", "version": "8.22" } ], "cpio": [ { "arch": "x86_64", "epoch": null, "name": "cpio", "release": "28.el7", "source": "rpm", "version": "2.11" } ], "cpp": [ { "arch": "x86_64", "epoch": null, "name": "cpp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "cracklib": [ { "arch": "x86_64", "epoch": null, "name": "cracklib", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "cracklib-dicts": [ { "arch": "x86_64", "epoch": null, "name": "cracklib-dicts", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "createrepo": [ { "arch": "noarch", "epoch": null, "name": "createrepo", "release": "28.el7", "source": "rpm", "version": "0.9.9" } ], "cronie": [ { "arch": "x86_64", "epoch": null, "name": "cronie", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "cronie-anacron": [ { "arch": "x86_64", "epoch": null, "name": "cronie-anacron", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "crontabs": [ { "arch": "noarch", "epoch": null, "name": "crontabs", "release": "6.20121102git.el7", "source": "rpm", "version": "1.11" } ], "cryptsetup-libs": [ { "arch": "x86_64", "epoch": null, "name": "cryptsetup-libs", "release": "6.el7", "source": "rpm", "version": "2.0.3" } ], "curl": [ { "arch": "x86_64", "epoch": null, "name": "curl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "cyrus-sasl-lib": [ { "arch": "x86_64", "epoch": null, "name": "cyrus-sasl-lib", "release": "24.el7_9", "source": "rpm", "version": "2.1.26" } ], "dbus": [ { "arch": "x86_64", "epoch": 1, "name": "dbus", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-glib": [ { "arch": "x86_64", "epoch": null, "name": "dbus-glib", "release": "7.el7", "source": "rpm", "version": "0.100" } ], "dbus-libs": [ { "arch": "x86_64", "epoch": 1, "name": "dbus-libs", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-python": [ { "arch": "x86_64", "epoch": null, "name": "dbus-python", "release": "9.el7", "source": "rpm", "version": "1.1.1" } ], "deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "device-mapper": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "device-mapper-libs": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper-libs", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "dhcp-common": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-common", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "dhcp-libs": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-libs", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "diffutils": [ { "arch": "x86_64", "epoch": null, "name": "diffutils", "release": "6.el7_9", "source": "rpm", "version": "3.3" } ], "dmidecode": [ { "arch": "x86_64", "epoch": 1, "name": "dmidecode", "release": "5.el7_9.1", "source": "rpm", "version": "3.2" } ], "dnf-data": [ { "arch": "noarch", "epoch": null, "name": "dnf-data", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "dracut": [ { "arch": "x86_64", "epoch": null, "name": "dracut", "release": "572.el7", "source": "rpm", "version": "033" } ], "dracut-config-rescue": [ { "arch": "x86_64", "epoch": null, "name": "dracut-config-rescue", "release": "572.el7", "source": "rpm", "version": "033" } ], "dyninst": [ { "arch": "x86_64", "epoch": null, "name": "dyninst", "release": "3.el7", "source": "rpm", "version": "9.3.1" } ], "e2fsprogs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "e2fsprogs-libs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs-libs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "ebtables": [ { "arch": "x86_64", "epoch": null, "name": "ebtables", "release": "16.el7", "source": "rpm", "version": "2.0.10" } ], "efivar-libs": [ { "arch": "x86_64", "epoch": null, "name": "efivar-libs", "release": "12.el7", "source": "rpm", "version": "36" } ], "elfutils-default-yama-scope": [ { "arch": "noarch", "epoch": null, "name": "elfutils-default-yama-scope", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libelf": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libelf", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libs", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "epel-release": [ { "arch": "noarch", "epoch": null, "name": "epel-release", "release": "14", "source": "rpm", "version": "7" } ], "ethtool": [ { "arch": "x86_64", "epoch": 2, "name": "ethtool", "release": "10.el7", "source": "rpm", "version": "4.8" } ], "expat": [ { "arch": "x86_64", "epoch": null, "name": "expat", "release": "15.el7_9", "source": "rpm", "version": "2.1.0" } ], "file": [ { "arch": "x86_64", "epoch": null, "name": "file", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "file-libs": [ { "arch": "x86_64", "epoch": null, "name": "file-libs", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "filesystem": [ { "arch": "x86_64", "epoch": null, "name": "filesystem", "release": "25.el7", "source": "rpm", "version": "3.2" } ], "findutils": [ { "arch": "x86_64", "epoch": 1, "name": "findutils", "release": "6.el7", "source": "rpm", "version": "4.5.11" } ], "fipscheck": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "fipscheck-lib": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck-lib", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "firewalld": [ { "arch": "noarch", "epoch": null, "name": "firewalld", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "firewalld-filesystem": [ { "arch": "noarch", "epoch": null, "name": "firewalld-filesystem", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "freetype": [ { "arch": "x86_64", "epoch": null, "name": "freetype", "release": "14.el7_9.1", "source": "rpm", "version": "2.8" } ], "fxload": [ { "arch": "x86_64", "epoch": null, "name": "fxload", "release": "16.el7", "source": "rpm", "version": "2002_04_11" } ], "gawk": [ { "arch": "x86_64", "epoch": null, "name": "gawk", "release": "4.el7_3.1", "source": "rpm", "version": "4.0.2" } ], "gcc": [ { "arch": "x86_64", "epoch": null, "name": "gcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "gdbm": [ { "arch": "x86_64", "epoch": null, "name": "gdbm", "release": "8.el7", "source": "rpm", "version": "1.10" } ], "gettext": [ { "arch": "x86_64", "epoch": null, "name": "gettext", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "gettext-libs": [ { "arch": "x86_64", "epoch": null, "name": "gettext-libs", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "git": [ { "arch": "x86_64", "epoch": null, "name": "git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "glib2": [ { "arch": "x86_64", "epoch": null, "name": "glib2", "release": "9.el7_9", "source": "rpm", "version": "2.56.1" } ], "glibc": [ { "arch": "x86_64", "epoch": null, "name": "glibc", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-common": [ { "arch": "x86_64", "epoch": null, "name": "glibc-common", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-devel": [ { "arch": "x86_64", "epoch": null, "name": "glibc-devel", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-headers": [ { "arch": "x86_64", "epoch": null, "name": "glibc-headers", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "gmp": [ { "arch": "x86_64", "epoch": 1, "name": "gmp", "release": "15.el7", "source": "rpm", "version": "6.0.0" } ], "gnupg2": [ { "arch": "x86_64", "epoch": null, "name": "gnupg2", "release": "5.el7_5", "source": "rpm", "version": "2.0.22" } ], "gobject-introspection": [ { "arch": "x86_64", "epoch": null, "name": "gobject-introspection", "release": "1.el7", "source": "rpm", "version": "1.56.1" } ], "gpg-pubkey": [ { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "52ae6884", "source": "rpm", "version": "352c64e5" }, { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "53a7ff4b", "source": "rpm", "version": "f4a80eb5" } ], "gpgme": [ { "arch": "x86_64", "epoch": null, "name": "gpgme", "release": "5.el7", "source": "rpm", "version": "1.3.2" } ], "gpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "gpm-libs", "release": "6.el7", "source": "rpm", "version": "1.20.7" } ], "grep": [ { "arch": "x86_64", "epoch": null, "name": "grep", "release": "3.el7", "source": "rpm", "version": "2.20" } ], "groff-base": [ { "arch": "x86_64", "epoch": null, "name": "groff-base", "release": "8.el7", "source": "rpm", "version": "1.22.2" } ], "grub2": [ { "arch": "x86_64", "epoch": 1, "name": "grub2", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-common": [ { "arch": "noarch", "epoch": 1, "name": "grub2-common", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-pc", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc-modules": [ { "arch": "noarch", "epoch": 1, "name": "grub2-pc-modules", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-extra": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-extra", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-minimal": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-minimal", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grubby": [ { "arch": "x86_64", "epoch": null, "name": "grubby", "release": "26.el7", "source": "rpm", "version": "8.28" } ], "gssproxy": [ { "arch": "x86_64", "epoch": null, "name": "gssproxy", "release": "30.el7_9", "source": "rpm", "version": "0.7.0" } ], "gzip": [ { "arch": "x86_64", "epoch": null, "name": "gzip", "release": "11.el7_9", "source": "rpm", "version": "1.5" } ], "hardlink": [ { "arch": "x86_64", "epoch": 1, "name": "hardlink", "release": "19.el7", "source": "rpm", "version": "1.0" } ], "hostname": [ { "arch": "x86_64", "epoch": null, "name": "hostname", "release": "3.el7_7.1", "source": "rpm", "version": "3.13" } ], "hwdata": [ { "arch": "x86_64", "epoch": null, "name": "hwdata", "release": "9.7.el7", "source": "rpm", "version": "0.252" } ], "info": [ { "arch": "x86_64", "epoch": null, "name": "info", "release": "5.el7", "source": "rpm", "version": "5.1" } ], "iproute": [ { "arch": "x86_64", "epoch": null, "name": "iproute", "release": "30.el7", "source": "rpm", "version": "4.11.0" } ], "iprutils": [ { "arch": "x86_64", "epoch": null, "name": "iprutils", "release": "3.el7_7", "source": "rpm", "version": "2.4.17.1" } ], "ipset": [ { "arch": "x86_64", "epoch": null, "name": "ipset", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "ipset-libs": [ { "arch": "x86_64", "epoch": null, "name": "ipset-libs", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "iptables": [ { "arch": "x86_64", "epoch": null, "name": "iptables", "release": "35.el7", "source": "rpm", "version": "1.4.21" } ], "iputils": [ { "arch": "x86_64", "epoch": null, "name": "iputils", "release": "10.el7", "source": "rpm", "version": "20160308" } ], "irqbalance": [ { "arch": "x86_64", "epoch": 3, "name": "irqbalance", "release": "12.el7", "source": "rpm", "version": "1.0.7" } ], "ivtv-firmware": [ { "arch": "noarch", "epoch": 2, "name": "ivtv-firmware", "release": "26.el7", "source": "rpm", "version": "20080701" } ], "iwl100-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl100-firmware", "release": "82.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl1000-firmware": [ { "arch": "noarch", "epoch": 1, "name": "iwl1000-firmware", "release": "82.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl105-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl105-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl135-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl135-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2000-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2030-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2030-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl3160-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3160-firmware", "release": "82.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "iwl3945-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3945-firmware", "release": "82.el7_9", "source": "rpm", "version": "15.32.2.9" } ], "iwl4965-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl4965-firmware", "release": "82.el7_9", "source": "rpm", "version": "228.61.2.24" } ], "iwl5000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5000-firmware", "release": "82.el7_9", "source": "rpm", "version": "8.83.5.1_1" } ], "iwl5150-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5150-firmware", "release": "82.el7_9", "source": "rpm", "version": "8.24.2.2" } ], "iwl6000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000-firmware", "release": "82.el7_9", "source": "rpm", "version": "9.221.4.1" } ], "iwl6000g2a-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2a-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6000g2b-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2b-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6050-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6050-firmware", "release": "82.el7_9", "source": "rpm", "version": "41.28.5.1" } ], "iwl7260-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl7260-firmware", "release": "82.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "jansson": [ { "arch": "x86_64", "epoch": null, "name": "jansson", "release": "1.el7", "source": "rpm", "version": "2.10" } ], "json-c": [ { "arch": "x86_64", "epoch": null, "name": "json-c", "release": "4.el7_0", "source": "rpm", "version": "0.11" } ], "kbd-legacy": [ { "arch": "noarch", "epoch": null, "name": "kbd-legacy", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kbd-misc": [ { "arch": "noarch", "epoch": null, "name": "kbd-misc", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kernel": [ { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.el7", "source": "rpm", "version": "3.10.0" }, { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-debug-devel": [ { "arch": "x86_64", "epoch": null, "name": "kernel-debug-devel", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-headers": [ { "arch": "x86_64", "epoch": null, "name": "kernel-headers", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools-libs": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools-libs", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "keyutils": [ { "arch": "x86_64", "epoch": null, "name": "keyutils", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "keyutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "keyutils-libs", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "kmod": [ { "arch": "x86_64", "epoch": null, "name": "kmod", "release": "28.el7", "source": "rpm", "version": "20" } ], "kmod-libs": [ { "arch": "x86_64", "epoch": null, "name": "kmod-libs", "release": "28.el7", "source": "rpm", "version": "20" } ], "kpartx": [ { "arch": "x86_64", "epoch": null, "name": "kpartx", "release": "136.el7_9", "source": "rpm", "version": "0.4.9" } ], "krb5-libs": [ { "arch": "x86_64", "epoch": null, "name": "krb5-libs", "release": "55.el7_9", "source": "rpm", "version": "1.15.1" } ], "less": [ { "arch": "x86_64", "epoch": null, "name": "less", "release": "9.el7", "source": "rpm", "version": "458" } ], "libacl": [ { "arch": "x86_64", "epoch": null, "name": "libacl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "libassuan": [ { "arch": "x86_64", "epoch": null, "name": "libassuan", "release": "3.el7", "source": "rpm", "version": "2.1.0" } ], "libattr": [ { "arch": "x86_64", "epoch": null, "name": "libattr", "release": "13.el7", "source": "rpm", "version": "2.4.46" } ], "libbasicobjects": [ { "arch": "x86_64", "epoch": null, "name": "libbasicobjects", "release": "32.el7", "source": "rpm", "version": "0.1.1" } ], "libblkid": [ { "arch": "x86_64", "epoch": null, "name": "libblkid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libcap": [ { "arch": "x86_64", "epoch": null, "name": "libcap", "release": "11.el7", "source": "rpm", "version": "2.22" } ], "libcap-ng": [ { "arch": "x86_64", "epoch": null, "name": "libcap-ng", "release": "4.el7", "source": "rpm", "version": "0.7.5" } ], "libcgroup": [ { "arch": "x86_64", "epoch": null, "name": "libcgroup", "release": "21.el7", "source": "rpm", "version": "0.41" } ], "libcollection": [ { "arch": "x86_64", "epoch": null, "name": "libcollection", "release": "32.el7", "source": "rpm", "version": "0.7.0" } ], "libcom_err": [ { "arch": "x86_64", "epoch": null, "name": "libcom_err", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libcomps": [ { "arch": "x86_64", "epoch": null, "name": "libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "libcroco": [ { "arch": "x86_64", "epoch": null, "name": "libcroco", "release": "6.el7_9", "source": "rpm", "version": "0.6.12" } ], "libcurl": [ { "arch": "x86_64", "epoch": null, "name": "libcurl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "libdaemon": [ { "arch": "x86_64", "epoch": null, "name": "libdaemon", "release": "7.el7", "source": "rpm", "version": "0.14" } ], "libdb": [ { "arch": "x86_64", "epoch": null, "name": "libdb", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdb-utils": [ { "arch": "x86_64", "epoch": null, "name": "libdb-utils", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdnf": [ { "arch": "x86_64", "epoch": null, "name": "libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "libdrm": [ { "arch": "x86_64", "epoch": null, "name": "libdrm", "release": "2.el7", "source": "rpm", "version": "2.4.97" } ], "libdwarf": [ { "arch": "x86_64", "epoch": null, "name": "libdwarf", "release": "4.el7", "source": "rpm", "version": "20130207" } ], "libedit": [ { "arch": "x86_64", "epoch": null, "name": "libedit", "release": "12.20121213cvs.el7", "source": "rpm", "version": "3.0" } ], "libestr": [ { "arch": "x86_64", "epoch": null, "name": "libestr", "release": "2.el7", "source": "rpm", "version": "0.1.9" } ], "libevent": [ { "arch": "x86_64", "epoch": null, "name": "libevent", "release": "4.el7", "source": "rpm", "version": "2.0.21" } ], "libfastjson": [ { "arch": "x86_64", "epoch": null, "name": "libfastjson", "release": "3.el7", "source": "rpm", "version": "0.99.4" } ], "libffi": [ { "arch": "x86_64", "epoch": null, "name": "libffi", "release": "19.el7", "source": "rpm", "version": "3.0.13" } ], "libgcc": [ { "arch": "x86_64", "epoch": null, "name": "libgcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgcrypt": [ { "arch": "x86_64", "epoch": null, "name": "libgcrypt", "release": "14.el7", "source": "rpm", "version": "1.5.3" } ], "libgomp": [ { "arch": "x86_64", "epoch": null, "name": "libgomp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgpg-error": [ { "arch": "x86_64", "epoch": null, "name": "libgpg-error", "release": "3.el7", "source": "rpm", "version": "1.12" } ], "libidn": [ { "arch": "x86_64", "epoch": null, "name": "libidn", "release": "4.el7", "source": "rpm", "version": "1.28" } ], "libini_config": [ { "arch": "x86_64", "epoch": null, "name": "libini_config", "release": "32.el7", "source": "rpm", "version": "1.3.1" } ], "libmnl": [ { "arch": "x86_64", "epoch": null, "name": "libmnl", "release": "7.el7", "source": "rpm", "version": "1.0.3" } ], "libmodulemd": [ { "arch": "x86_64", "epoch": null, "name": "libmodulemd", "release": "1.el7", "source": "rpm", "version": "1.6.3" } ], "libmount": [ { "arch": "x86_64", "epoch": null, "name": "libmount", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libmpc": [ { "arch": "x86_64", "epoch": null, "name": "libmpc", "release": "3.el7", "source": "rpm", "version": "1.0.1" } ], "libndp": [ { "arch": "x86_64", "epoch": null, "name": "libndp", "release": "9.el7", "source": "rpm", "version": "1.2" } ], "libnetfilter_conntrack": [ { "arch": "x86_64", "epoch": null, "name": "libnetfilter_conntrack", "release": "1.el7_3", "source": "rpm", "version": "1.0.6" } ], "libnfnetlink": [ { "arch": "x86_64", "epoch": null, "name": "libnfnetlink", "release": "4.el7", "source": "rpm", "version": "1.0.1" } ], "libnfsidmap": [ { "arch": "x86_64", "epoch": null, "name": "libnfsidmap", "release": "19.el7", "source": "rpm", "version": "0.25" } ], "libnl3": [ { "arch": "x86_64", "epoch": null, "name": "libnl3", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libnl3-cli": [ { "arch": "x86_64", "epoch": null, "name": "libnl3-cli", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libpath_utils": [ { "arch": "x86_64", "epoch": null, "name": "libpath_utils", "release": "32.el7", "source": "rpm", "version": "0.2.1" } ], "libpciaccess": [ { "arch": "x86_64", "epoch": null, "name": "libpciaccess", "release": "1.el7", "source": "rpm", "version": "0.14" } ], "libpipeline": [ { "arch": "x86_64", "epoch": null, "name": "libpipeline", "release": "3.el7", "source": "rpm", "version": "1.2.3" } ], "libpng": [ { "arch": "x86_64", "epoch": 2, "name": "libpng", "release": "8.el7", "source": "rpm", "version": "1.5.13" } ], "libpwquality": [ { "arch": "x86_64", "epoch": null, "name": "libpwquality", "release": "5.el7", "source": "rpm", "version": "1.2.3" } ], "libref_array": [ { "arch": "x86_64", "epoch": null, "name": "libref_array", "release": "32.el7", "source": "rpm", "version": "0.1.5" } ], "librepo": [ { "arch": "x86_64", "epoch": null, "name": "librepo", "release": "8.el7_9", "source": "rpm", "version": "1.8.1" } ], "libreport-filesystem": [ { "arch": "x86_64", "epoch": null, "name": "libreport-filesystem", "release": "53.el7.centos", "source": "rpm", "version": "2.1.11" } ], "libseccomp": [ { "arch": "x86_64", "epoch": null, "name": "libseccomp", "release": "4.el7", "source": "rpm", "version": "2.3.1" } ], "libselinux": [ { "arch": "x86_64", "epoch": null, "name": "libselinux", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-python": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-python", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-utils": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-utils", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libsemanage": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsemanage-python": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage-python", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsepol": [ { "arch": "x86_64", "epoch": null, "name": "libsepol", "release": "10.el7", "source": "rpm", "version": "2.5" } ], "libsmartcols": [ { "arch": "x86_64", "epoch": null, "name": "libsmartcols", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libsolv": [ { "arch": "x86_64", "epoch": null, "name": "libsolv", "release": "4.el7", "source": "rpm", "version": "0.6.34" } ], "libss": [ { "arch": "x86_64", "epoch": null, "name": "libss", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libssh2": [ { "arch": "x86_64", "epoch": null, "name": "libssh2", "release": "4.el7_9.1", "source": "rpm", "version": "1.8.0" } ], "libstdc++": [ { "arch": "x86_64", "epoch": null, "name": "libstdc++", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libsysfs": [ { "arch": "x86_64", "epoch": null, "name": "libsysfs", "release": "16.el7", "source": "rpm", "version": "2.1.0" } ], "libtasn1": [ { "arch": "x86_64", "epoch": null, "name": "libtasn1", "release": "1.el7", "source": "rpm", "version": "4.10" } ], "libteam": [ { "arch": "x86_64", "epoch": null, "name": "libteam", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "libtirpc": [ { "arch": "x86_64", "epoch": null, "name": "libtirpc", "release": "0.16.el7", "source": "rpm", "version": "0.2.4" } ], "libunistring": [ { "arch": "x86_64", "epoch": null, "name": "libunistring", "release": "9.el7", "source": "rpm", "version": "0.9.3" } ], "libuser": [ { "arch": "x86_64", "epoch": null, "name": "libuser", "release": "9.el7", "source": "rpm", "version": "0.60" } ], "libutempter": [ { "arch": "x86_64", "epoch": null, "name": "libutempter", "release": "4.el7", "source": "rpm", "version": "1.1.6" } ], "libuuid": [ { "arch": "x86_64", "epoch": null, "name": "libuuid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libverto": [ { "arch": "x86_64", "epoch": null, "name": "libverto", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libverto-libevent": [ { "arch": "x86_64", "epoch": null, "name": "libverto-libevent", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libxml2": [ { "arch": "x86_64", "epoch": null, "name": "libxml2", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxml2-python": [ { "arch": "x86_64", "epoch": null, "name": "libxml2-python", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxslt": [ { "arch": "x86_64", "epoch": null, "name": "libxslt", "release": "6.el7", "source": "rpm", "version": "1.1.28" } ], "libyaml": [ { "arch": "x86_64", "epoch": null, "name": "libyaml", "release": "11.el7_0", "source": "rpm", "version": "0.1.4" } ], "linux-firmware": [ { "arch": "noarch", "epoch": null, "name": "linux-firmware", "release": "82.git78c0348.el7_9", "source": "rpm", "version": "20200421" } ], "logrotate": [ { "arch": "x86_64", "epoch": null, "name": "logrotate", "release": "19.el7", "source": "rpm", "version": "3.8.6" } ], "lshw": [ { "arch": "x86_64", "epoch": null, "name": "lshw", "release": "0.1.20180614git028f6b2.beaker.1.el7bkr.1", "source": "rpm", "version": "B.02.19" } ], "lsscsi": [ { "arch": "x86_64", "epoch": null, "name": "lsscsi", "release": "6.el7", "source": "rpm", "version": "0.27" } ], "lua": [ { "arch": "x86_64", "epoch": null, "name": "lua", "release": "15.el7", "source": "rpm", "version": "5.1.4" } ], "lz4": [ { "arch": "x86_64", "epoch": null, "name": "lz4", "release": "1.el7", "source": "rpm", "version": "1.8.3" } ], "lzo": [ { "arch": "x86_64", "epoch": null, "name": "lzo", "release": "8.el7", "source": "rpm", "version": "2.06" } ], "mailcap": [ { "arch": "noarch", "epoch": null, "name": "mailcap", "release": "2.el7", "source": "rpm", "version": "2.1.41" } ], "make": [ { "arch": "x86_64", "epoch": 1, "name": "make", "release": "24.el7", "source": "rpm", "version": "3.82" } ], "man-db": [ { "arch": "x86_64", "epoch": null, "name": "man-db", "release": "11.el7", "source": "rpm", "version": "2.6.3" } ], "mariadb-libs": [ { "arch": "x86_64", "epoch": 1, "name": "mariadb-libs", "release": "1.el7", "source": "rpm", "version": "5.5.68" } ], "microcode_ctl": [ { "arch": "x86_64", "epoch": 2, "name": "microcode_ctl", "release": "73.20.el7_9", "source": "rpm", "version": "2.1" } ], "mokutil": [ { "arch": "x86_64", "epoch": null, "name": "mokutil", "release": "8.el7", "source": "rpm", "version": "15" } ], "mozjs17": [ { "arch": "x86_64", "epoch": null, "name": "mozjs17", "release": "20.el7", "source": "rpm", "version": "17.0.0" } ], "mpfr": [ { "arch": "x86_64", "epoch": null, "name": "mpfr", "release": "4.el7", "source": "rpm", "version": "3.1.1" } ], "ncurses": [ { "arch": "x86_64", "epoch": null, "name": "ncurses", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-base": [ { "arch": "noarch", "epoch": null, "name": "ncurses-base", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-libs": [ { "arch": "x86_64", "epoch": null, "name": "ncurses-libs", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "net-tools": [ { "arch": "x86_64", "epoch": null, "name": "net-tools", "release": "0.25.20131004git.el7", "source": "rpm", "version": "2.0" } ], "newt": [ { "arch": "x86_64", "epoch": null, "name": "newt", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "newt-python": [ { "arch": "x86_64", "epoch": null, "name": "newt-python", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "nfs-utils": [ { "arch": "x86_64", "epoch": 1, "name": "nfs-utils", "release": "0.68.el7.2", "source": "rpm", "version": "1.3.0" } ], "nspr": [ { "arch": "x86_64", "epoch": null, "name": "nspr", "release": "1.el7_9", "source": "rpm", "version": "4.35.0" } ], "nss": [ { "arch": "x86_64", "epoch": null, "name": "nss", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-pem": [ { "arch": "x86_64", "epoch": null, "name": "nss-pem", "release": "7.el7_9.1", "source": "rpm", "version": "1.0.3" } ], "nss-softokn": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-softokn-freebl": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn-freebl", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-sysinit": [ { "arch": "x86_64", "epoch": null, "name": "nss-sysinit", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-tools": [ { "arch": "x86_64", "epoch": null, "name": "nss-tools", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-util": [ { "arch": "x86_64", "epoch": null, "name": "nss-util", "release": "1.el7_9", "source": "rpm", "version": "3.90.0" } ], "ntpdate": [ { "arch": "x86_64", "epoch": null, "name": "ntpdate", "release": "29.el7.centos.2", "source": "rpm", "version": "4.2.6p5" } ], "numactl-libs": [ { "arch": "x86_64", "epoch": null, "name": "numactl-libs", "release": "5.el7", "source": "rpm", "version": "2.0.12" } ], "openldap": [ { "arch": "x86_64", "epoch": null, "name": "openldap", "release": "25.el7_9", "source": "rpm", "version": "2.4.44" } ], "openssh": [ { "arch": "x86_64", "epoch": null, "name": "openssh", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-clients": [ { "arch": "x86_64", "epoch": null, "name": "openssh-clients", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-server": [ { "arch": "x86_64", "epoch": null, "name": "openssh-server", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssl": [ { "arch": "x86_64", "epoch": 1, "name": "openssl", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "openssl-libs": [ { "arch": "x86_64", "epoch": 1, "name": "openssl-libs", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "os-prober": [ { "arch": "x86_64", "epoch": null, "name": "os-prober", "release": "9.el7", "source": "rpm", "version": "1.58" } ], "p11-kit": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "p11-kit-trust": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit-trust", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "pam": [ { "arch": "x86_64", "epoch": null, "name": "pam", "release": "23.el7", "source": "rpm", "version": "1.1.8" } ], "parted": [ { "arch": "x86_64", "epoch": null, "name": "parted", "release": "32.el7", "source": "rpm", "version": "3.1" } ], "passwd": [ { "arch": "x86_64", "epoch": null, "name": "passwd", "release": "6.el7", "source": "rpm", "version": "0.79" } ], "pciutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "pciutils-libs", "release": "3.el7", "source": "rpm", "version": "3.5.1" } ], "pcre": [ { "arch": "x86_64", "epoch": null, "name": "pcre", "release": "17.el7", "source": "rpm", "version": "8.32" } ], "perl": [ { "arch": "x86_64", "epoch": 4, "name": "perl", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-Business-ISBN": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN", "release": "2.el7", "source": "rpm", "version": "2.06" } ], "perl-Business-ISBN-Data": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN-Data", "release": "2.el7", "source": "rpm", "version": "20120719.001" } ], "perl-CGI": [ { "arch": "noarch", "epoch": null, "name": "perl-CGI", "release": "4.el7", "source": "rpm", "version": "3.63" } ], "perl-Carp": [ { "arch": "noarch", "epoch": null, "name": "perl-Carp", "release": "244.el7", "source": "rpm", "version": "1.26" } ], "perl-Compress-Raw-Bzip2": [ { "arch": "x86_64", "epoch": null, "name": "perl-Compress-Raw-Bzip2", "release": "3.el7", "source": "rpm", "version": "2.061" } ], "perl-Compress-Raw-Zlib": [ { "arch": "x86_64", "epoch": 1, "name": "perl-Compress-Raw-Zlib", "release": "4.el7", "source": "rpm", "version": "2.061" } ], "perl-Data-Dumper": [ { "arch": "x86_64", "epoch": null, "name": "perl-Data-Dumper", "release": "3.el7", "source": "rpm", "version": "2.145" } ], "perl-Date-Manip": [ { "arch": "noarch", "epoch": null, "name": "perl-Date-Manip", "release": "2.el7", "source": "rpm", "version": "6.41" } ], "perl-Digest": [ { "arch": "noarch", "epoch": null, "name": "perl-Digest", "release": "245.el7", "source": "rpm", "version": "1.17" } ], "perl-Digest-MD5": [ { "arch": "x86_64", "epoch": null, "name": "perl-Digest-MD5", "release": "3.el7", "source": "rpm", "version": "2.52" } ], "perl-Encode": [ { "arch": "x86_64", "epoch": null, "name": "perl-Encode", "release": "7.el7", "source": "rpm", "version": "2.51" } ], "perl-Encode-Locale": [ { "arch": "noarch", "epoch": null, "name": "perl-Encode-Locale", "release": "5.el7", "source": "rpm", "version": "1.03" } ], "perl-Error": [ { "arch": "noarch", "epoch": 1, "name": "perl-Error", "release": "2.el7", "source": "rpm", "version": "0.17020" } ], "perl-Exporter": [ { "arch": "noarch", "epoch": null, "name": "perl-Exporter", "release": "3.el7", "source": "rpm", "version": "5.68" } ], "perl-FCGI": [ { "arch": "x86_64", "epoch": 1, "name": "perl-FCGI", "release": "8.el7", "source": "rpm", "version": "0.74" } ], "perl-File-Listing": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Listing", "release": "7.el7", "source": "rpm", "version": "6.04" } ], "perl-File-Path": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Path", "release": "2.el7", "source": "rpm", "version": "2.09" } ], "perl-File-Temp": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Temp", "release": "3.el7", "source": "rpm", "version": "0.23.01" } ], "perl-Filter": [ { "arch": "x86_64", "epoch": null, "name": "perl-Filter", "release": "3.el7", "source": "rpm", "version": "1.49" } ], "perl-Font-AFM": [ { "arch": "noarch", "epoch": null, "name": "perl-Font-AFM", "release": "13.el7", "source": "rpm", "version": "1.20" } ], "perl-Getopt-Long": [ { "arch": "noarch", "epoch": null, "name": "perl-Getopt-Long", "release": "3.el7", "source": "rpm", "version": "2.40" } ], "perl-Git": [ { "arch": "noarch", "epoch": null, "name": "perl-Git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "perl-HTML-Format": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Format", "release": "7.el7", "source": "rpm", "version": "2.10" } ], "perl-HTML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-HTML-Parser", "release": "4.el7", "source": "rpm", "version": "3.71" } ], "perl-HTML-Tagset": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Tagset", "release": "15.el7", "source": "rpm", "version": "3.20" } ], "perl-HTML-Tree": [ { "arch": "noarch", "epoch": 1, "name": "perl-HTML-Tree", "release": "2.el7", "source": "rpm", "version": "5.03" } ], "perl-HTTP-Cookies": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Cookies", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Daemon": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Daemon", "release": "8.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Date": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Date", "release": "8.el7", "source": "rpm", "version": "6.02" } ], "perl-HTTP-Message": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Message", "release": "6.el7", "source": "rpm", "version": "6.06" } ], "perl-HTTP-Negotiate": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Negotiate", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Tiny": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Tiny", "release": "3.el7", "source": "rpm", "version": "0.033" } ], "perl-IO-Compress": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Compress", "release": "2.el7", "source": "rpm", "version": "2.061" } ], "perl-IO-HTML": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-HTML", "release": "2.el7", "source": "rpm", "version": "1.00" } ], "perl-IO-Socket-IP": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-IP", "release": "5.el7", "source": "rpm", "version": "0.21" } ], "perl-IO-Socket-SSL": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-SSL", "release": "7.el7", "source": "rpm", "version": "1.94" } ], "perl-IO-Zlib": [ { "arch": "noarch", "epoch": 1, "name": "perl-IO-Zlib", "release": "299.el7_9", "source": "rpm", "version": "1.10" } ], "perl-IO-stringy": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-stringy", "release": "22.el7", "source": "rpm", "version": "2.110" } ], "perl-LWP-MediaTypes": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-MediaTypes", "release": "2.el7", "source": "rpm", "version": "6.02" } ], "perl-LWP-Protocol-https": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-Protocol-https", "release": "4.el7", "source": "rpm", "version": "6.04" } ], "perl-Module-Load": [ { "arch": "noarch", "epoch": 1, "name": "perl-Module-Load", "release": "3.el7", "source": "rpm", "version": "0.24" } ], "perl-Mozilla-CA": [ { "arch": "noarch", "epoch": null, "name": "perl-Mozilla-CA", "release": "5.el7", "source": "rpm", "version": "20130114" } ], "perl-Net-HTTP": [ { "arch": "noarch", "epoch": null, "name": "perl-Net-HTTP", "release": "2.el7", "source": "rpm", "version": "6.06" } ], "perl-Net-LibIDN": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-LibIDN", "release": "15.el7", "source": "rpm", "version": "0.12" } ], "perl-Net-SSLeay": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-SSLeay", "release": "6.el7", "source": "rpm", "version": "1.55" } ], "perl-PathTools": [ { "arch": "x86_64", "epoch": null, "name": "perl-PathTools", "release": "5.el7", "source": "rpm", "version": "3.40" } ], "perl-Pod-Escapes": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Escapes", "release": "299.el7_9", "source": "rpm", "version": "1.04" } ], "perl-Pod-Perldoc": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Perldoc", "release": "4.el7", "source": "rpm", "version": "3.20" } ], "perl-Pod-Simple": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Simple", "release": "4.el7", "source": "rpm", "version": "3.28" } ], "perl-Pod-Usage": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Usage", "release": "3.el7", "source": "rpm", "version": "1.63" } ], "perl-Scalar-List-Utils": [ { "arch": "x86_64", "epoch": null, "name": "perl-Scalar-List-Utils", "release": "248.el7", "source": "rpm", "version": "1.27" } ], "perl-Socket": [ { "arch": "x86_64", "epoch": null, "name": "perl-Socket", "release": "5.el7", "source": "rpm", "version": "2.010" } ], "perl-Storable": [ { "arch": "x86_64", "epoch": null, "name": "perl-Storable", "release": "3.el7", "source": "rpm", "version": "2.45" } ], "perl-TermReadKey": [ { "arch": "x86_64", "epoch": null, "name": "perl-TermReadKey", "release": "20.el7", "source": "rpm", "version": "2.30" } ], "perl-Text-ParseWords": [ { "arch": "noarch", "epoch": null, "name": "perl-Text-ParseWords", "release": "4.el7", "source": "rpm", "version": "3.29" } ], "perl-Time-HiRes": [ { "arch": "x86_64", "epoch": 4, "name": "perl-Time-HiRes", "release": "3.el7", "source": "rpm", "version": "1.9725" } ], "perl-Time-Local": [ { "arch": "noarch", "epoch": null, "name": "perl-Time-Local", "release": "2.el7", "source": "rpm", "version": "1.2300" } ], "perl-TimeDate": [ { "arch": "noarch", "epoch": 1, "name": "perl-TimeDate", "release": "2.el7", "source": "rpm", "version": "2.30" } ], "perl-URI": [ { "arch": "noarch", "epoch": null, "name": "perl-URI", "release": "9.el7", "source": "rpm", "version": "1.60" } ], "perl-WWW-RobotRules": [ { "arch": "noarch", "epoch": null, "name": "perl-WWW-RobotRules", "release": "5.el7", "source": "rpm", "version": "6.02" } ], "perl-XML-LibXML": [ { "arch": "x86_64", "epoch": 1, "name": "perl-XML-LibXML", "release": "5.el7", "source": "rpm", "version": "2.0018" } ], "perl-XML-NamespaceSupport": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-NamespaceSupport", "release": "10.el7", "source": "rpm", "version": "1.11" } ], "perl-XML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-XML-Parser", "release": "10.el7", "source": "rpm", "version": "2.41" } ], "perl-XML-SAX": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX", "release": "9.el7", "source": "rpm", "version": "0.99" } ], "perl-XML-SAX-Base": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX-Base", "release": "7.el7", "source": "rpm", "version": "1.08" } ], "perl-XML-Twig": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-Twig", "release": "2.el7", "source": "rpm", "version": "3.44" } ], "perl-constant": [ { "arch": "noarch", "epoch": null, "name": "perl-constant", "release": "2.el7", "source": "rpm", "version": "1.27" } ], "perl-libs": [ { "arch": "x86_64", "epoch": 4, "name": "perl-libs", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-libwww-perl": [ { "arch": "noarch", "epoch": null, "name": "perl-libwww-perl", "release": "2.el7", "source": "rpm", "version": "6.05" } ], "perl-macros": [ { "arch": "x86_64", "epoch": 4, "name": "perl-macros", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-parent": [ { "arch": "noarch", "epoch": 1, "name": "perl-parent", "release": "244.el7", "source": "rpm", "version": "0.225" } ], "perl-podlators": [ { "arch": "noarch", "epoch": null, "name": "perl-podlators", "release": "3.el7", "source": "rpm", "version": "2.5.1" } ], "perl-threads": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads", "release": "4.el7", "source": "rpm", "version": "1.87" } ], "perl-threads-shared": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads-shared", "release": "6.el7", "source": "rpm", "version": "1.43" } ], "pinentry": [ { "arch": "x86_64", "epoch": null, "name": "pinentry", "release": "17.el7", "source": "rpm", "version": "0.8.1" } ], "pkgconfig": [ { "arch": "x86_64", "epoch": 1, "name": "pkgconfig", "release": "4.el7", "source": "rpm", "version": "0.27.1" } ], "plymouth-core-libs": [ { "arch": "x86_64", "epoch": null, "name": "plymouth-core-libs", "release": "0.34.20140113.el7.centos", "source": "rpm", "version": "0.8.9" } ], "policycoreutils": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "policycoreutils-python": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils-python", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "polkit": [ { "arch": "x86_64", "epoch": null, "name": "polkit", "release": "26.el7_9.1", "source": "rpm", "version": "0.112" } ], "polkit-pkla-compat": [ { "arch": "x86_64", "epoch": null, "name": "polkit-pkla-compat", "release": "4.el7", "source": "rpm", "version": "0.1" } ], "popt": [ { "arch": "x86_64", "epoch": null, "name": "popt", "release": "16.el7", "source": "rpm", "version": "1.13" } ], "postfix": [ { "arch": "x86_64", "epoch": 2, "name": "postfix", "release": "9.el7", "source": "rpm", "version": "2.10.1" } ], "procps-ng": [ { "arch": "x86_64", "epoch": null, "name": "procps-ng", "release": "28.el7", "source": "rpm", "version": "3.3.10" } ], "psmisc": [ { "arch": "x86_64", "epoch": null, "name": "psmisc", "release": "17.el7", "source": "rpm", "version": "22.20" } ], "pth": [ { "arch": "x86_64", "epoch": null, "name": "pth", "release": "23.el7", "source": "rpm", "version": "2.0.7" } ], "pygpgme": [ { "arch": "x86_64", "epoch": null, "name": "pygpgme", "release": "9.el7", "source": "rpm", "version": "0.3" } ], "pyliblzma": [ { "arch": "x86_64", "epoch": null, "name": "pyliblzma", "release": "11.el7", "source": "rpm", "version": "0.5.3" } ], "pyserial": [ { "arch": "noarch", "epoch": null, "name": "pyserial", "release": "6.el7", "source": "rpm", "version": "2.6" } ], "python": [ { "arch": "x86_64", "epoch": null, "name": "python", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-IPy": [ { "arch": "noarch", "epoch": null, "name": "python-IPy", "release": "6.el7", "source": "rpm", "version": "0.75" } ], "python-babel": [ { "arch": "noarch", "epoch": null, "name": "python-babel", "release": "8.el7", "source": "rpm", "version": "0.9.6" } ], "python-backports": [ { "arch": "x86_64", "epoch": null, "name": "python-backports", "release": "8.el7", "source": "rpm", "version": "1.0" } ], "python-backports-ssl_match_hostname": [ { "arch": "noarch", "epoch": null, "name": "python-backports-ssl_match_hostname", "release": "1.el7", "source": "rpm", "version": "3.5.0.1" } ], "python-chardet": [ { "arch": "noarch", "epoch": null, "name": "python-chardet", "release": "3.el7", "source": "rpm", "version": "2.2.1" } ], "python-configobj": [ { "arch": "noarch", "epoch": null, "name": "python-configobj", "release": "7.el7", "source": "rpm", "version": "4.7.2" } ], "python-decorator": [ { "arch": "noarch", "epoch": null, "name": "python-decorator", "release": "3.el7", "source": "rpm", "version": "3.4.0" } ], "python-deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "python-deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "python-enum34": [ { "arch": "noarch", "epoch": null, "name": "python-enum34", "release": "1.el7", "source": "rpm", "version": "1.0.4" } ], "python-firewall": [ { "arch": "noarch", "epoch": null, "name": "python-firewall", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "python-gobject-base": [ { "arch": "x86_64", "epoch": null, "name": "python-gobject-base", "release": "1.el7_4.1", "source": "rpm", "version": "3.22.0" } ], "python-iniparse": [ { "arch": "noarch", "epoch": null, "name": "python-iniparse", "release": "9.el7", "source": "rpm", "version": "0.4" } ], "python-ipaddress": [ { "arch": "noarch", "epoch": null, "name": "python-ipaddress", "release": "2.el7", "source": "rpm", "version": "1.0.16" } ], "python-jinja2": [ { "arch": "noarch", "epoch": null, "name": "python-jinja2", "release": "4.el7", "source": "rpm", "version": "2.7.2" } ], "python-jsonpatch": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpatch", "release": "4.el7", "source": "rpm", "version": "1.2" } ], "python-jsonpointer": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpointer", "release": "2.el7", "source": "rpm", "version": "1.9" } ], "python-kitchen": [ { "arch": "noarch", "epoch": null, "name": "python-kitchen", "release": "5.el7", "source": "rpm", "version": "1.1.1" } ], "python-libs": [ { "arch": "x86_64", "epoch": null, "name": "python-libs", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-linux-procfs": [ { "arch": "noarch", "epoch": null, "name": "python-linux-procfs", "release": "4.el7", "source": "rpm", "version": "0.4.11" } ], "python-lxml": [ { "arch": "x86_64", "epoch": null, "name": "python-lxml", "release": "4.el7", "source": "rpm", "version": "3.2.1" } ], "python-markupsafe": [ { "arch": "x86_64", "epoch": null, "name": "python-markupsafe", "release": "10.el7", "source": "rpm", "version": "0.11" } ], "python-perf": [ { "arch": "x86_64", "epoch": null, "name": "python-perf", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "python-prettytable": [ { "arch": "noarch", "epoch": null, "name": "python-prettytable", "release": "3.el7", "source": "rpm", "version": "0.7.2" } ], "python-pycurl": [ { "arch": "x86_64", "epoch": null, "name": "python-pycurl", "release": "19.el7", "source": "rpm", "version": "7.19.0" } ], "python-pyudev": [ { "arch": "noarch", "epoch": null, "name": "python-pyudev", "release": "9.el7", "source": "rpm", "version": "0.15" } ], "python-requests": [ { "arch": "noarch", "epoch": null, "name": "python-requests", "release": "10.el7", "source": "rpm", "version": "2.6.0" } ], "python-schedutils": [ { "arch": "x86_64", "epoch": null, "name": "python-schedutils", "release": "6.el7", "source": "rpm", "version": "0.4" } ], "python-setuptools": [ { "arch": "noarch", "epoch": null, "name": "python-setuptools", "release": "7.el7", "source": "rpm", "version": "0.9.8" } ], "python-six": [ { "arch": "noarch", "epoch": null, "name": "python-six", "release": "2.el7", "source": "rpm", "version": "1.9.0" } ], "python-slip": [ { "arch": "noarch", "epoch": null, "name": "python-slip", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-slip-dbus": [ { "arch": "noarch", "epoch": null, "name": "python-slip-dbus", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-urlgrabber": [ { "arch": "noarch", "epoch": null, "name": "python-urlgrabber", "release": "10.el7", "source": "rpm", "version": "3.10" } ], "python-urllib3": [ { "arch": "noarch", "epoch": null, "name": "python-urllib3", "release": "7.el7", "source": "rpm", "version": "1.10.2" } ], "python2-dnf": [ { "arch": "noarch", "epoch": null, "name": "python2-dnf", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "python2-hawkey": [ { "arch": "x86_64", "epoch": null, "name": "python2-hawkey", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "python2-libcomps": [ { "arch": "x86_64", "epoch": null, "name": "python2-libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "python2-libdnf": [ { "arch": "x86_64", "epoch": null, "name": "python2-libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "pyxattr": [ { "arch": "x86_64", "epoch": null, "name": "pyxattr", "release": "5.el7", "source": "rpm", "version": "0.5.1" } ], "qa-tools": [ { "arch": "noarch", "epoch": null, "name": "qa-tools", "release": "4.el7_9", "source": "rpm", "version": "4.1" } ], "qemu-guest-agent": [ { "arch": "x86_64", "epoch": 10, "name": "qemu-guest-agent", "release": "3.el7", "source": "rpm", "version": "2.12.0" } ], "qrencode-libs": [ { "arch": "x86_64", "epoch": null, "name": "qrencode-libs", "release": "3.el7", "source": "rpm", "version": "3.4.1" } ], "quota": [ { "arch": "x86_64", "epoch": 1, "name": "quota", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "quota-nls": [ { "arch": "noarch", "epoch": 1, "name": "quota-nls", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "readline": [ { "arch": "x86_64", "epoch": null, "name": "readline", "release": "11.el7", "source": "rpm", "version": "6.2" } ], "restraint": [ { "arch": "x86_64", "epoch": null, "name": "restraint", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "restraint-rhts": [ { "arch": "x86_64", "epoch": null, "name": "restraint-rhts", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "rng-tools": [ { "arch": "x86_64", "epoch": null, "name": "rng-tools", "release": "5.el7", "source": "rpm", "version": "6.3.1" } ], "rootfiles": [ { "arch": "noarch", "epoch": null, "name": "rootfiles", "release": "11.el7", "source": "rpm", "version": "8.1" } ], "rpcbind": [ { "arch": "x86_64", "epoch": null, "name": "rpcbind", "release": "49.el7", "source": "rpm", "version": "0.2.0" } ], "rpm": [ { "arch": "x86_64", "epoch": null, "name": "rpm", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-build-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-build-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-python": [ { "arch": "x86_64", "epoch": null, "name": "rpm-python", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rsync": [ { "arch": "x86_64", "epoch": null, "name": "rsync", "release": "12.el7_9", "source": "rpm", "version": "3.1.2" } ], "rsyslog": [ { "arch": "x86_64", "epoch": null, "name": "rsyslog", "release": "57.el7_9.3", "source": "rpm", "version": "8.24.0" } ], "ruby": [ { "arch": "x86_64", "epoch": null, "name": "ruby", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-irb": [ { "arch": "noarch", "epoch": null, "name": "ruby-irb", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-libs": [ { "arch": "x86_64", "epoch": null, "name": "ruby-libs", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "rubygem-bigdecimal": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-bigdecimal", "release": "39.el7_9", "source": "rpm", "version": "1.2.0" } ], "rubygem-io-console": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-io-console", "release": "39.el7_9", "source": "rpm", "version": "0.4.2" } ], "rubygem-json": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-json", "release": "39.el7_9", "source": "rpm", "version": "1.7.7" } ], "rubygem-psych": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-psych", "release": "39.el7_9", "source": "rpm", "version": "2.0.0" } ], "rubygem-rdoc": [ { "arch": "noarch", "epoch": null, "name": "rubygem-rdoc", "release": "39.el7_9", "source": "rpm", "version": "4.0.0" } ], "rubygems": [ { "arch": "noarch", "epoch": null, "name": "rubygems", "release": "39.el7_9", "source": "rpm", "version": "2.0.14.1" } ], "sed": [ { "arch": "x86_64", "epoch": null, "name": "sed", "release": "7.el7", "source": "rpm", "version": "4.2.2" } ], "selinux-policy": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "selinux-policy-targeted": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy-targeted", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "setools-libs": [ { "arch": "x86_64", "epoch": null, "name": "setools-libs", "release": "4.el7", "source": "rpm", "version": "3.3.8" } ], "setup": [ { "arch": "noarch", "epoch": null, "name": "setup", "release": "11.el7", "source": "rpm", "version": "2.8.71" } ], "sg3_utils": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "sg3_utils-libs": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils-libs", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "shadow-utils": [ { "arch": "x86_64", "epoch": 2, "name": "shadow-utils", "release": "5.el7", "source": "rpm", "version": "4.6" } ], "shared-mime-info": [ { "arch": "x86_64", "epoch": null, "name": "shared-mime-info", "release": "5.el7", "source": "rpm", "version": "1.8" } ], "slang": [ { "arch": "x86_64", "epoch": null, "name": "slang", "release": "11.el7", "source": "rpm", "version": "2.2.4" } ], "snappy": [ { "arch": "x86_64", "epoch": null, "name": "snappy", "release": "3.el7", "source": "rpm", "version": "1.1.0" } ], "sqlite": [ { "arch": "x86_64", "epoch": null, "name": "sqlite", "release": "8.el7_7.1", "source": "rpm", "version": "3.7.17" } ], "strace": [ { "arch": "x86_64", "epoch": null, "name": "strace", "release": "7.el7_9", "source": "rpm", "version": "4.24" } ], "sudo": [ { "arch": "x86_64", "epoch": null, "name": "sudo", "release": "10.el7_9.3", "source": "rpm", "version": "1.8.23" } ], "systemd": [ { "arch": "x86_64", "epoch": null, "name": "systemd", "release": "78.el7_9.9", "source": "rpm", "version": "219" } ], "systemd-libs": [ { "arch": "x86_64", "epoch": null, "name": "systemd-libs", "release": "78.el7_9.9", "source": "rpm", "version": "219" } ], "systemd-sysv": [ { "arch": "x86_64", "epoch": null, "name": "systemd-sysv", "release": "78.el7_9.9", "source": "rpm", "version": "219" } ], "systemtap": [ { "arch": "x86_64", "epoch": null, "name": "systemtap", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-client": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-client", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-devel": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-devel", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-runtime": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-runtime", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "sysvinit-tools": [ { "arch": "x86_64", "epoch": null, "name": "sysvinit-tools", "release": "14.dsf.el7", "source": "rpm", "version": "2.88" } ], "tar": [ { "arch": "x86_64", "epoch": 2, "name": "tar", "release": "35.el7", "source": "rpm", "version": "1.26" } ], "tcp_wrappers": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "tcp_wrappers-libs": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers-libs", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "teamd": [ { "arch": "x86_64", "epoch": null, "name": "teamd", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "time": [ { "arch": "x86_64", "epoch": null, "name": "time", "release": "45.el7", "source": "rpm", "version": "1.7" } ], "tps-devel": [ { "arch": "noarch", "epoch": null, "name": "tps-devel", "release": "1", "source": "rpm", "version": "2.44.50" } ], "tuned": [ { "arch": "noarch", "epoch": null, "name": "tuned", "release": "12.el7_9", "source": "rpm", "version": "2.11.0" } ], "tzdata": [ { "arch": "noarch", "epoch": null, "name": "tzdata", "release": "1.el7", "source": "rpm", "version": "2024a" } ], "unzip": [ { "arch": "x86_64", "epoch": null, "name": "unzip", "release": "24.el7_9", "source": "rpm", "version": "6.0" } ], "ustr": [ { "arch": "x86_64", "epoch": null, "name": "ustr", "release": "16.el7", "source": "rpm", "version": "1.0.4" } ], "util-linux": [ { "arch": "x86_64", "epoch": null, "name": "util-linux", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "vim-common": [ { "arch": "x86_64", "epoch": 2, "name": "vim-common", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-enhanced": [ { "arch": "x86_64", "epoch": 2, "name": "vim-enhanced", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-filesystem": [ { "arch": "x86_64", "epoch": 2, "name": "vim-filesystem", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-minimal": [ { "arch": "x86_64", "epoch": 2, "name": "vim-minimal", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "virt-what": [ { "arch": "x86_64", "epoch": null, "name": "virt-what", "release": "4.el7_9.1", "source": "rpm", "version": "1.18" } ], "wget": [ { "arch": "x86_64", "epoch": null, "name": "wget", "release": "18.el7_6.1", "source": "rpm", "version": "1.14" } ], "which": [ { "arch": "x86_64", "epoch": null, "name": "which", "release": "7.el7", "source": "rpm", "version": "2.20" } ], "wpa_supplicant": [ { "arch": "x86_64", "epoch": 1, "name": "wpa_supplicant", "release": "12.el7_9.2", "source": "rpm", "version": "2.6" } ], "xfsprogs": [ { "arch": "x86_64", "epoch": null, "name": "xfsprogs", "release": "22.el7", "source": "rpm", "version": "4.5.0" } ], "xz": [ { "arch": "x86_64", "epoch": null, "name": "xz", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "xz-libs": [ { "arch": "x86_64", "epoch": null, "name": "xz-libs", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "yum": [ { "arch": "noarch", "epoch": null, "name": "yum", "release": "168.el7.centos", "source": "rpm", "version": "3.4.3" } ], "yum-metadata-parser": [ { "arch": "x86_64", "epoch": null, "name": "yum-metadata-parser", "release": "10.el7", "source": "rpm", "version": "1.1.4" } ], "yum-plugin-fastestmirror": [ { "arch": "noarch", "epoch": null, "name": "yum-plugin-fastestmirror", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "yum-utils": [ { "arch": "noarch", "epoch": null, "name": "yum-utils", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "zip": [ { "arch": "x86_64", "epoch": null, "name": "zip", "release": "11.el7", "source": "rpm", "version": "3.0" } ], "zlib": [ { "arch": "x86_64", "epoch": null, "name": "zlib", "release": "21.el7_9", "source": "rpm", "version": "1.2.7" } ] } }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog version] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:48 Saturday 24 February 2024 08:47:30 +0000 (0:00:00.993) 0:01:08.953 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_version": "8.24.0" }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 1] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:55 Saturday 24 February 2024 08:47:30 +0000 (0:00:00.051) 0:01:09.005 ***** ok: [sut] => {} MSG: Rsyslog_version is 8.24.0, which is older than "8.37.0-7.2". TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 2] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:60 Saturday 24 February 2024 08:47:30 +0000 (0:00:00.042) 0:01:09.047 ***** skipping: [sut] => { "false_condition": "__rsyslog_version is version('8.37.0-7.2', '>')" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set __rsyslog_failed_validation] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:68 Saturday 24 February 2024 08:47:30 +0000 (0:00:00.028) 0:01:09.075 ***** skipping: [sut] => { "changed": false, "false_condition": "rsyslog_in_image | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create the config directory if it does not exist] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:76 Saturday 24 February 2024 08:47:30 +0000 (0:00:00.025) 0:01:09.100 ***** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/etc/rsyslog.d", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create a work directory] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:83 Saturday 24 February 2024 08:47:30 +0000 (0:00:00.174) 0:01:09.275 ***** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0700", "owner": "root", "path": "/var/lib/rsyslog", "secontext": "system_u:object_r:syslogd_var_lib_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create logging directory if it does not exist or the ownership and/or modes are different.] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:89 Saturday 24 February 2024 08:47:31 +0000 (0:00:00.156) 0:01:09.431 ***** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/var/log", "secontext": "system_u:object_r:var_log_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate global rule to add to __rsyslog_common_rules] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:97 Saturday 24 February 2024 08:47:31 +0000 (0:00:00.223) 0:01:09.654 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_common_rules": [ { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate common rsyslog configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:120 Saturday 24 February 2024 08:47:31 +0000 (0:00:00.119) 0:01:09.774 ***** changed: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "state": "file", "uid": 0 } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } changed: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Initialize list of template results] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:144 Saturday 24 February 2024 08:47:32 +0000 (0:00:00.901) 0:01:10.675 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove common config files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:148 Saturday 24 February 2024 08:47:32 +0000 (0:00:00.044) 0:01:10.719 ***** skipping: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include input sub-vars] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:166 Saturday 24 February 2024 08:47:32 +0000 (0:00:00.050) 0:01:10.770 ***** ok: [sut] => (item={'name': 'basic_input', 'type': 'basics', 'ratelimit_burst': 33333}) => { "ansible_facts": { "__rsyslog_basics_packages": [] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml" ], "ansible_loop_var": "input_item", "changed": false, "input_item": { "name": "basic_input", "ratelimit_burst": 33333, "type": "basics" } } ok: [sut] => (item={'name': 'files_input', 'type': 'files', 'input_log_path': '/var/log/inputdirectory/*.log'}) => { "ansible_facts": { "__rsyslog_conf_imfile_modules": [ { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" } ], "__rsyslog_files_input_packages": [], "__rsyslog_files_input_rules": [ "{{ __rsyslog_conf_imfile_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml" ], "ansible_loop_var": "input_item", "changed": false, "input_item": { "input_log_path": "/var/log/inputdirectory/*.log", "name": "files_input", "type": "files" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run input sub-tasks] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:177 Saturday 24 February 2024 08:47:32 +0000 (0:00:00.040) 0:01:10.810 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/basics/main.yml for sut => (item={'name': 'basic_input', 'type': 'basics', 'ratelimit_burst': 33333}) included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/files/main.yml for sut => (item={'name': 'files_input', 'type': 'files', 'input_log_path': '/var/log/inputdirectory/*.log'}) TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update basics input packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/basics/main.yml:3 Saturday 24 February 2024 08:47:32 +0000 (0:00:00.095) 0:01:10.905 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:32 +0000 (0:00:00.062) 0:01:10.967 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:32 +0000 (0:00:00.040) 0:01:11.007 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:32 +0000 (0:00:00.028) 0:01:11.036 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:32 +0000 (0:00:00.051) 0:01:11.088 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create basics input configuration file /etc/rsyslog.d/input-basics-basic_input] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/basics/main.yml:10 Saturday 24 February 2024 08:47:32 +0000 (0:00:00.032) 0:01:11.120 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:32 +0000 (0:00:00.045) 0:01:11.166 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:32 +0000 (0:00:00.040) 0:01:11.206 ***** changed: [sut] => (item={'name': 'input-basics-basic_input', 'type': 'input', 'state': 'present', 'sections': [{'options': '$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test0\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test1\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call forwards_facility_only\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.0497823-4287-110587622346843/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:33 +0000 (0:00:00.520) 0:01:11.727 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.0497823-4287-110587622346843/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.0497823-4287-110587622346843/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:33 +0000 (0:00:00.050) 0:01:11.778 ***** skipping: [sut] => (item={'name': 'input-basics-basic_input', 'type': 'input', 'state': 'present', 'sections': [{'options': '$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test0\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test1\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call forwards_facility_only\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update files input packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/files/main.yml:3 Saturday 24 February 2024 08:47:33 +0000 (0:00:00.146) 0:01:11.925 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:33 +0000 (0:00:00.038) 0:01:11.963 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:33 +0000 (0:00:00.074) 0:01:12.037 ***** changed: [sut] => (item={'name': 'input-files-modules', 'type': 'modules', 'sections': [{'comment': 'Reads log messages from file', 'options': 'module(load="imfile" mode="inotify")'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.7494004-4312-251744447866271/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:34 +0000 (0:00:00.372) 0:01:12.410 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.0497823-4287-110587622346843/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.0497823-4287-110587622346843/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.7494004-4312-251744447866271/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.7494004-4312-251744447866271/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:34 +0000 (0:00:00.033) 0:01:12.443 ***** skipping: [sut] => (item={'name': 'input-files-modules', 'type': 'modules', 'sections': [{'comment': 'Reads log messages from file', 'options': 'module(load="imfile" mode="inotify")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create files input configuration file /etc/rsyslog.d/input-files-files_input] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/files/main.yml:12 Saturday 24 February 2024 08:47:34 +0000 (0:00:00.030) 0:01:12.474 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:34 +0000 (0:00:00.042) 0:01:12.517 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:34 +0000 (0:00:00.028) 0:01:12.545 ***** changed: [sut] => (item={'name': 'input-files-files_input', 'type': 'input', 'state': 'present', 'sections': [{'options': 'input(\n type="imfile"\n file="/var/log/inputdirectory/*.log"\n tag="files_input"\n)\nif\n ($syslogtag == "files_input")\n then {\n call files_test0\n}\nif\n ($syslogtag == "files_input")\n then {\n call files_test1\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1708764454.320493-4332-253034484343782/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:34 +0000 (0:00:00.452) 0:01:12.997 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.0497823-4287-110587622346843/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.0497823-4287-110587622346843/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.7494004-4312-251744447866271/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.7494004-4312-251744447866271/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764454.320493-4332-253034484343782/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1708764454.320493-4332-253034484343782/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:34 +0000 (0:00:00.035) 0:01:13.032 ***** skipping: [sut] => (item={'name': 'input-files-files_input', 'type': 'input', 'state': 'present', 'sections': [{'options': 'input(\n type="imfile"\n file="/var/log/inputdirectory/*.log"\n tag="files_input"\n)\nif\n ($syslogtag == "files_input")\n then {\n call files_test0\n}\nif\n ($syslogtag == "files_input")\n then {\n call files_test1\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include output sub-vars] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:192 Saturday 24 February 2024 08:47:34 +0000 (0:00:00.096) 0:01:13.129 ***** ok: [sut] => (item={'name': 'files_test0', 'type': 'files', 'severity': 'info', 'exclude': ['authpriv.none', 'auth.none', 'cron.none', 'mail.none'], 'path': '/var/log/messages'}) => { "ansible_facts": { "__rsyslog_conf_files_output_modules": [ { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_files_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_TraditionalFileFormat\")\n{% elif logging_files_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfile\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_files_output_packages": [], "__rsyslog_files_output_rules": [ "{{ __rsyslog_conf_files_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "exclude": [ "authpriv.none", "auth.none", "cron.none", "mail.none" ], "name": "files_test0", "path": "/var/log/messages", "severity": "info", "type": "files" } } ok: [sut] => (item={'name': 'files_test1', 'type': 'files', 'facility': 'authpriv,auth', 'path': '/var/log/secure'}) => { "ansible_facts": { "__rsyslog_conf_files_output_modules": [ { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_files_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_TraditionalFileFormat\")\n{% elif logging_files_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfile\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_files_output_packages": [], "__rsyslog_files_output_rules": [ "{{ __rsyslog_conf_files_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "facility": "authpriv,auth", "name": "files_test1", "path": "/var/log/secure", "type": "files" } } ok: [sut] => (item={'name': 'forwards_severity_and_facility', 'type': 'forwards', 'facility': 'local1', 'severity': 'info', 'target': 'host.domain', 'tcp_port': 1514}) => { "ansible_facts": { "__rsyslog_conf_forwards_output_modules": [ { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_forwards_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_TraditionalForwardFormat\")\n{% elif logging_forwards_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfwd\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_forwards_output_packages": [], "__rsyslog_forwards_output_rules": [ "{{ __rsyslog_conf_forwards_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "facility": "local1", "name": "forwards_severity_and_facility", "severity": "info", "target": "host.domain", "tcp_port": 1514, "type": "forwards" } } ok: [sut] => (item={'name': 'forwards_facility_only', 'type': 'forwards', 'facility': 'local2', 'target': 'host.domain', 'tcp_port': 2514}) => { "ansible_facts": { "__rsyslog_conf_forwards_output_modules": [ { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_forwards_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_TraditionalForwardFormat\")\n{% elif logging_forwards_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfwd\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_forwards_output_packages": [], "__rsyslog_forwards_output_rules": [ "{{ __rsyslog_conf_forwards_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "facility": "local2", "name": "forwards_facility_only", "target": "host.domain", "tcp_port": 2514, "type": "forwards" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run output sub-tasks] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:204 Saturday 24 February 2024 08:47:34 +0000 (0:00:00.058) 0:01:13.187 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml for sut => (item={'name': 'files_test0', 'type': 'files', 'severity': 'info', 'exclude': ['authpriv.none', 'auth.none', 'cron.none', 'mail.none'], 'path': '/var/log/messages'}) included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml for sut => (item={'name': 'files_test1', 'type': 'files', 'facility': 'authpriv,auth', 'path': '/var/log/secure'}) included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml for sut => (item={'name': 'forwards_severity_and_facility', 'type': 'forwards', 'facility': 'local1', 'severity': 'info', 'target': 'host.domain', 'tcp_port': 1514}) included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml for sut => (item={'name': 'forwards_facility_only', 'type': 'forwards', 'facility': 'local2', 'target': 'host.domain', 'tcp_port': 2514}) TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update files output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:3 Saturday 24 February 2024 08:47:34 +0000 (0:00:00.064) 0:01:13.251 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:34 +0000 (0:00:00.039) 0:01:13.291 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:34 +0000 (0:00:00.026) 0:01:13.317 ***** changed: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.0250413-4358-127224108024270/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:35 +0000 (0:00:00.376) 0:01:13.694 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.0497823-4287-110587622346843/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.0497823-4287-110587622346843/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.7494004-4312-251744447866271/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.7494004-4312-251744447866271/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764454.320493-4332-253034484343782/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1708764454.320493-4332-253034484343782/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.0250413-4358-127224108024270/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.0250413-4358-127224108024270/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:35 +0000 (0:00:00.053) 0:01:13.748 ***** skipping: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create files output configuration file /etc/rsyslog.d/output-files-files_test0] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:11 Saturday 24 February 2024 08:47:35 +0000 (0:00:00.037) 0:01:13.786 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:35 +0000 (0:00:00.040) 0:01:13.827 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:35 +0000 (0:00:00.027) 0:01:13.854 ***** changed: [sut] => (item={'name': 'output-files-files_test0', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test0") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.6598237-4379-37728578753847/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:36 +0000 (0:00:00.517) 0:01:14.372 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.0497823-4287-110587622346843/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.0497823-4287-110587622346843/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.7494004-4312-251744447866271/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.7494004-4312-251744447866271/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764454.320493-4332-253034484343782/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1708764454.320493-4332-253034484343782/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.0250413-4358-127224108024270/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.0250413-4358-127224108024270/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.6598237-4379-37728578753847/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.6598237-4379-37728578753847/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:36 +0000 (0:00:00.036) 0:01:14.409 ***** skipping: [sut] => (item={'name': 'output-files-files_test0', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test0") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update files output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:3 Saturday 24 February 2024 08:47:36 +0000 (0:00:00.072) 0:01:14.481 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:36 +0000 (0:00:00.039) 0:01:14.521 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:36 +0000 (0:00:00.028) 0:01:14.549 ***** ok: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:36 +0000 (0:00:00.346) 0:01:14.896 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.0497823-4287-110587622346843/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.0497823-4287-110587622346843/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.7494004-4312-251744447866271/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.7494004-4312-251744447866271/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764454.320493-4332-253034484343782/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1708764454.320493-4332-253034484343782/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.0250413-4358-127224108024270/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.0250413-4358-127224108024270/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.6598237-4379-37728578753847/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.6598237-4379-37728578753847/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:36 +0000 (0:00:00.037) 0:01:14.933 ***** skipping: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create files output configuration file /etc/rsyslog.d/output-files-files_test1] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:11 Saturday 24 February 2024 08:47:36 +0000 (0:00:00.036) 0:01:14.969 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:36 +0000 (0:00:00.041) 0:01:15.011 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:36 +0000 (0:00:00.029) 0:01:15.040 ***** changed: [sut] => (item={'name': 'output-files-files_test1', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test1") {\n authpriv,auth.* /var/log/secure\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1708764456.8152905-4419-217782452280697/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:37 +0000 (0:00:00.508) 0:01:15.548 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.0497823-4287-110587622346843/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.0497823-4287-110587622346843/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.7494004-4312-251744447866271/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.7494004-4312-251744447866271/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764454.320493-4332-253034484343782/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1708764454.320493-4332-253034484343782/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.0250413-4358-127224108024270/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.0250413-4358-127224108024270/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.6598237-4379-37728578753847/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.6598237-4379-37728578753847/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764456.8152905-4419-217782452280697/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1708764456.8152905-4419-217782452280697/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:37 +0000 (0:00:00.041) 0:01:15.589 ***** skipping: [sut] => (item={'name': 'output-files-files_test1', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test1") {\n authpriv,auth.* /var/log/secure\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update forwards output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:3 Saturday 24 February 2024 08:47:37 +0000 (0:00:00.133) 0:01:15.723 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:37 +0000 (0:00:00.085) 0:01:15.809 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:37 +0000 (0:00:00.033) 0:01:15.842 ***** changed: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1708764457.5689347-4441-247137160596304/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:37 +0000 (0:00:00.423) 0:01:16.265 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.0497823-4287-110587622346843/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.0497823-4287-110587622346843/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.7494004-4312-251744447866271/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.7494004-4312-251744447866271/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764454.320493-4332-253034484343782/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1708764454.320493-4332-253034484343782/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.0250413-4358-127224108024270/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.0250413-4358-127224108024270/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.6598237-4379-37728578753847/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.6598237-4379-37728578753847/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764456.8152905-4419-217782452280697/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1708764456.8152905-4419-217782452280697/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "content": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764457.5689347-4441-247137160596304/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1708764457.5689347-4441-247137160596304/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:37 +0000 (0:00:00.040) 0:01:16.305 ***** skipping: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create forwards output configuration file /etc/rsyslog.d/output-forwards-forwards_severity_and_facility] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:10 Saturday 24 February 2024 08:47:38 +0000 (0:00:00.032) 0:01:16.338 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:38 +0000 (0:00:00.129) 0:01:16.467 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:38 +0000 (0:00:00.030) 0:01:16.498 ***** changed: [sut] => (item={'name': 'output-forwards-forwards_severity_and_facility', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="forwards_severity_and_facility") {\n local1.info action(name="forwards_severity_and_facility"\n type="omfwd"\n Target="host.domain"\n Port="1514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "md5sum": "68860f71a2d42c2feaf329e9a7e50bfd", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 299, "src": "/root/.ansible/tmp/ansible-tmp-1708764458.276035-4469-205930310725801/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:38 +0000 (0:00:00.501) 0:01:16.999 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.0497823-4287-110587622346843/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.0497823-4287-110587622346843/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.7494004-4312-251744447866271/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.7494004-4312-251744447866271/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764454.320493-4332-253034484343782/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1708764454.320493-4332-253034484343782/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.0250413-4358-127224108024270/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.0250413-4358-127224108024270/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.6598237-4379-37728578753847/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.6598237-4379-37728578753847/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764456.8152905-4419-217782452280697/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1708764456.8152905-4419-217782452280697/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "content": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764457.5689347-4441-247137160596304/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1708764457.5689347-4441-247137160596304/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "content": null, "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764458.276035-4469-205930310725801/source", "unsafe_writes": false, "validate": null } }, "md5sum": "68860f71a2d42c2feaf329e9a7e50bfd", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 299, "src": "/root/.ansible/tmp/ansible-tmp-1708764458.276035-4469-205930310725801/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:38 +0000 (0:00:00.043) 0:01:17.043 ***** skipping: [sut] => (item={'name': 'output-forwards-forwards_severity_and_facility', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="forwards_severity_and_facility") {\n local1.info action(name="forwards_severity_and_facility"\n type="omfwd"\n Target="host.domain"\n Port="1514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update forwards output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:3 Saturday 24 February 2024 08:47:38 +0000 (0:00:00.077) 0:01:17.121 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:38 +0000 (0:00:00.038) 0:01:17.160 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:38 +0000 (0:00:00.030) 0:01:17.191 ***** ok: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:39 +0000 (0:00:00.390) 0:01:17.582 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.0497823-4287-110587622346843/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.0497823-4287-110587622346843/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.7494004-4312-251744447866271/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.7494004-4312-251744447866271/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764454.320493-4332-253034484343782/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1708764454.320493-4332-253034484343782/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.0250413-4358-127224108024270/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.0250413-4358-127224108024270/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.6598237-4379-37728578753847/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.6598237-4379-37728578753847/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764456.8152905-4419-217782452280697/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1708764456.8152905-4419-217782452280697/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "content": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764457.5689347-4441-247137160596304/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1708764457.5689347-4441-247137160596304/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "content": null, "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764458.276035-4469-205930310725801/source", "unsafe_writes": false, "validate": null } }, "md5sum": "68860f71a2d42c2feaf329e9a7e50bfd", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 299, "src": "/root/.ansible/tmp/ansible-tmp-1708764458.276035-4469-205930310725801/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:39 +0000 (0:00:00.057) 0:01:17.639 ***** skipping: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create forwards output configuration file /etc/rsyslog.d/output-forwards-forwards_facility_only] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:10 Saturday 24 February 2024 08:47:39 +0000 (0:00:00.059) 0:01:17.699 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:39 +0000 (0:00:00.103) 0:01:17.803 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:39 +0000 (0:00:00.038) 0:01:17.841 ***** changed: [sut] => (item={'name': 'output-forwards-forwards_facility_only', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="forwards_facility_only") {\n local2.* action(name="forwards_facility_only"\n type="omfwd"\n Target="host.domain"\n Port="2514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ac42e1dea4e03f676f11b40c4fdf9eee8625a0ee", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_facility_only", "sections": [ { "options": "ruleset(name=\"forwards_facility_only\") {\n local2.* action(name=\"forwards_facility_only\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"2514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "md5sum": "6323e0bec496fa375d0c070440930f93", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 280, "src": "/root/.ansible/tmp/ansible-tmp-1708764459.6186507-4519-20938630130988/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:39 +0000 (0:00:00.457) 0:01:18.299 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "content": null, "dest": "/etc/rsyslog.d/00-global.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "unsafe_writes": false, "validate": null } }, "md5sum": "58af10f7f33e77c7a7cb4f3f08ca4f19", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.5276554-4244-89673317847909/source", "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "content": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "unsafe_writes": false, "validate": null } }, "md5sum": "67b8db030cbdc1a113a66f29287f3698", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "src": "/root/.ansible/tmp/ansible-tmp-1708764451.9596455-4244-181462668854595/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test1\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_severity_and_facility\n}\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call forwards_facility_only\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "c7076687b21f6ade105f2d8329ba3d6028ec7080", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.0497823-4287-110587622346843/source", "unsafe_writes": false, "validate": null } }, "md5sum": "4e34f7dd3585b96f619b37b5f98fcbea", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 871, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.0497823-4287-110587622346843/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "content": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.7494004-4312-251744447866271/source", "unsafe_writes": false, "validate": null } }, "md5sum": "e4d4ee601da81eca07628f4f57e447b9", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "src": "/root/.ansible/tmp/ansible-tmp-1708764453.7494004-4312-251744447866271/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test0\n}\nif\n ($syslogtag == \"files_input\")\n then {\n call files_test1\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "content": null, "dest": "/etc/rsyslog.d/90-input-files-files_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764454.320493-4332-253034484343782/source", "unsafe_writes": false, "validate": null } }, "md5sum": "b9224c27f4e9bdd0e2d5e7cda7d0f9bc", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 265, "src": "/root/.ansible/tmp/ansible-tmp-1708764454.320493-4332-253034484343782/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "content": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.0250413-4358-127224108024270/source", "unsafe_writes": false, "validate": null } }, "md5sum": "00018829068d32b3c654252fff4f6884", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.0250413-4358-127224108024270/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.6598237-4379-37728578753847/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ba0a5087e94aacaf7390817184906b1c", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "src": "/root/.ansible/tmp/ansible-tmp-1708764455.6598237-4379-37728578753847/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "4bbf6bdd43b3d1ec882cd8e910ff52b2325bb73c", "content": null, "dest": "/etc/rsyslog.d/30-output-files-files_test1.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764456.8152905-4419-217782452280697/source", "unsafe_writes": false, "validate": null } }, "md5sum": "c2a31d5da2598fcdb8a248bb748e745e", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 115, "src": "/root/.ansible/tmp/ansible-tmp-1708764456.8152905-4419-217782452280697/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "content": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764457.5689347-4441-247137160596304/source", "unsafe_writes": false, "validate": null } }, "md5sum": "ae2da87911f54a1a65d787b2eba4d920", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "src": "/root/.ansible/tmp/ansible-tmp-1708764457.5689347-4441-247137160596304/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "content": null, "dest": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764458.276035-4469-205930310725801/source", "unsafe_writes": false, "validate": null } }, "md5sum": "68860f71a2d42c2feaf329e9a7e50bfd", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 299, "src": "/root/.ansible/tmp/ansible-tmp-1708764458.276035-4469-205930310725801/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "ac42e1dea4e03f676f11b40c4fdf9eee8625a0ee", "dest": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-forwards_facility_only", "sections": [ { "options": "ruleset(name=\"forwards_facility_only\") {\n local2.* action(name=\"forwards_facility_only\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"2514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "ac42e1dea4e03f676f11b40c4fdf9eee8625a0ee", "content": null, "dest": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764459.6186507-4519-20938630130988/source", "unsafe_writes": false, "validate": null } }, "md5sum": "6323e0bec496fa375d0c070440930f93", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 280, "src": "/root/.ansible/tmp/ansible-tmp-1708764459.6186507-4519-20938630130988/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:40 +0000 (0:00:00.041) 0:01:18.340 ***** skipping: [sut] => (item={'name': 'output-forwards-forwards_facility_only', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="forwards_facility_only") {\n local2.* action(name="forwards_facility_only"\n type="omfwd"\n Target="host.domain"\n Port="2514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-forwards_facility_only", "sections": [ { "options": "ruleset(name=\"forwards_facility_only\") {\n local2.* action(name=\"forwards_facility_only\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"2514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "present", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog config files not owned by any package] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:217 Saturday 24 February 2024 08:47:40 +0000 (0:00:00.073) 0:01:18.413 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Purge - remove files not generated by current state] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:234 Saturday 24 February 2024 08:47:40 +0000 (0:00:00.025) 0:01:18.439 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy custom config files if they are specified in rsyslog_custom_config_files variable array.] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:254 Saturday 24 February 2024 08:47:40 +0000 (0:00:00.032) 0:01:18.472 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check tls is enabled in forwards output or remote input and logging_pki_files] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:275 Saturday 24 February 2024 08:47:40 +0000 (0:00:00.026) 0:01:18.498 ***** skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local ca_cert file to the target if needed] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:283 Saturday 24 February 2024 08:47:40 +0000 (0:00:00.043) 0:01:18.542 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local cert file to the target if needed] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:295 Saturday 24 February 2024 08:47:40 +0000 (0:00:00.033) 0:01:18.575 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local key file to the target if needed] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:307 Saturday 24 February 2024 08:47:40 +0000 (0:00:00.035) 0:01:18.611 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Enable rsyslog service] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:319 Saturday 24 February 2024 08:47:40 +0000 (0:00:00.148) 0:01:18.760 ***** ok: [sut] => { "changed": false, "enabled": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestamp": "Sat 2024-02-24 08:47:26 UTC", "ActiveEnterTimestampMonotonic": "1262685915", "ActiveExitTimestamp": "Sat 2024-02-24 08:47:26 UTC", "ActiveExitTimestampMonotonic": "1262677521", "ActiveState": "active", "After": "system.slice basic.target network.target network-online.target", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "yes", "AssertTimestamp": "Sat 2024-02-24 08:47:26 UTC", "AssertTimestampMonotonic": "1262679838", "Before": "shutdown.target multi-user.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "yes", "ConditionTimestamp": "Sat 2024-02-24 08:47:26 UTC", "ConditionTimestampMonotonic": "1262679837", "Conflicts": "shutdown.target", "ControlGroup": "/system.slice/rsyslog.service", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "14314", "ExecMainStartTimestamp": "Sat 2024-02-24 08:47:26 UTC", "ExecMainStartTimestampMonotonic": "1262680678", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[Sat 2024-02-24 08:47:26 UTC] ; stop_time=[n/a] ; pid=14314 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestamp": "Sat 2024-02-24 08:47:26 UTC", "InactiveEnterTimestampMonotonic": "1262679412", "InactiveExitTimestamp": "Sat 2024-02-24 08:47:26 UTC", "InactiveExitTimestampMonotonic": "1262680732", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "14314", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "basic.target system.slice", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "running", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network.target network-online.target", "WatchdogTimestamp": "Sat 2024-02-24 08:47:26 UTC", "WatchdogTimestampMonotonic": "1262685889", "WatchdogUSec": "0" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Disable rsyslog service] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:328 Saturday 24 February 2024 08:47:40 +0000 (0:00:00.228) 0:01:18.988 ***** skipping: [sut] => { "changed": false, "false_condition": "not __rsyslog_enabled | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:337 Saturday 24 February 2024 08:47:40 +0000 (0:00:00.025) 0:01:19.014 ***** skipping: [sut] => { "false_condition": "__rsyslog_failed_validation | d(false)" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : See if there are any config files] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:349 Saturday 24 February 2024 08:47:40 +0000 (0:00:00.024) 0:01:19.038 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_is_ostree | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get mode of rsyslog.conf if it exists] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:356 Saturday 24 February 2024 08:47:40 +0000 (0:00:00.029) 0:01:19.068 ***** ok: [sut] => { "changed": false, "stat": { "atime": 1708764446.136042, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "c9638f934d9bd63f915871a44f22aa1968342884", "ctime": 1708764441.3551548, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 136788, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1642101032.0, "nlink": 1, "path": "/etc/rsyslog.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 3232, "uid": 0, "version": "394640871", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate main rsyslog configuration] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:362 Saturday 24 February 2024 08:47:40 +0000 (0:00:00.153) 0:01:19.222 ***** Notification for handler Restart rsyslogd has been saved. changed: [sut] => { "changed": true, "checksum": "5fe705203b62f654be0460d9893da023dbffb94d", "dest": "/etc/rsyslog.conf", "gid": 0, "group": "root", "md5sum": "06be1b21217661badf921ea6dcceb702", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 131, "src": "/root/.ansible/tmp/ansible-tmp-1708764460.9256768-4552-187000949711182/source", "state": "file", "uid": 0 } TASK [Force all notified handlers to run at this point, not waiting for normal sync points] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:429 Saturday 24 February 2024 08:47:41 +0000 (0:00:00.408) 0:01:19.630 ***** NOTIFIED HANDLER fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd for sut META: triggered running handlers for sut RUNNING HANDLER [fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/handlers/main.yml:2 Saturday 24 February 2024 08:47:41 +0000 (0:00:00.016) 0:01:19.646 ***** changed: [sut] => { "changed": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestamp": "Sat 2024-02-24 08:47:26 UTC", "ActiveEnterTimestampMonotonic": "1262685915", "ActiveExitTimestamp": "Sat 2024-02-24 08:47:26 UTC", "ActiveExitTimestampMonotonic": "1262677521", "ActiveState": "active", "After": "system.slice basic.target network.target network-online.target", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "yes", "AssertTimestamp": "Sat 2024-02-24 08:47:26 UTC", "AssertTimestampMonotonic": "1262679838", "Before": "shutdown.target multi-user.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "yes", "ConditionTimestamp": "Sat 2024-02-24 08:47:26 UTC", "ConditionTimestampMonotonic": "1262679837", "Conflicts": "shutdown.target", "ControlGroup": "/system.slice/rsyslog.service", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "14314", "ExecMainStartTimestamp": "Sat 2024-02-24 08:47:26 UTC", "ExecMainStartTimestampMonotonic": "1262680678", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[Sat 2024-02-24 08:47:26 UTC] ; stop_time=[n/a] ; pid=14314 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestamp": "Sat 2024-02-24 08:47:26 UTC", "InactiveEnterTimestampMonotonic": "1262679412", "InactiveExitTimestamp": "Sat 2024-02-24 08:47:26 UTC", "InactiveExitTimestampMonotonic": "1262680732", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "14314", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "basic.target system.slice", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "running", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network.target network-online.target", "WatchdogTimestamp": "Sat 2024-02-24 08:47:26 UTC", "WatchdogTimestampMonotonic": "1262685889", "WatchdogUSec": "0" } } TASK [Ensure config file size and counts] ************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:432 Saturday 24 February 2024 08:47:41 +0000 (0:00:00.229) 0:01:19.876 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml for sut TASK [Get info of /etc/rsyslog.conf] ******************************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:2 Saturday 24 February 2024 08:47:41 +0000 (0:00:00.052) 0:01:19.928 ***** ok: [sut] => { "changed": false, "stat": { "atime": 1708764461.5086813, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "5fe705203b62f654be0460d9893da023dbffb94d", "ctime": 1708764461.2296877, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263363, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1708764461.095691, "nlink": 1, "path": "/etc/rsyslog.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 131, "uid": 0, "version": "394641134", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [Get file counts in /etc/rsyslog.d] *************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:7 Saturday 24 February 2024 08:47:41 +0000 (0:00:00.141) 0:01:20.069 ***** ok: [sut] => { "changed": false, "examined": 12, "files": [ { "atime": 1708764461.5096812, "ctime": 1708764458.6067493, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263361, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764458.4717526, "nlink": 1, "path": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 299, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764459.0367393, "ctime": 1708764457.8847663, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263360, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764457.7537694, "nlink": 1, "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 150, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764461.5096812, "ctime": 1708764457.1447837, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263359, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764456.9947872, "nlink": 1, "path": "/etc/rsyslog.d/30-output-files-files_test1.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 115, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764461.5096812, "ctime": 1708764459.9197185, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263362, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764459.7907217, "nlink": 1, "path": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 280, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764456.3888013, "ctime": 1708764455.3128266, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263357, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764455.1868296, "nlink": 1, "path": "/etc/rsyslog.d/10-output-files-modules.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 151, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764461.5096812, "ctime": 1708764451.847908, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 262515, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764451.7149112, "nlink": 1, "path": "/etc/rsyslog.d/00-global.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 137, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764461.5096812, "ctime": 1708764452.2928977, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263353, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764452.156901, "nlink": 1, "path": "/etc/rsyslog.d/05-common-defaults.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 96, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764461.5096812, "ctime": 1708764455.9478118, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263358, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764455.8208148, "nlink": 1, "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 152, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764461.5096812, "ctime": 1708764454.0328567, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263355, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764453.9058597, "nlink": 1, "path": "/etc/rsyslog.d/10-input-files-modules.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 118, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764461.5096812, "ctime": 1708764454.617843, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263356, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764454.489846, "nlink": 1, "path": "/etc/rsyslog.d/90-input-files-files_input.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 265, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764461.5096812, "ctime": 1708764453.3498728, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263354, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764453.217876, "nlink": 1, "path": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 871, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } ], "matched": 11, "skipped_paths": {} } MSG: All paths examined TASK [Check rsyslog.conf size (less)] ****************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:13 Saturday 24 February 2024 08:47:41 +0000 (0:00:00.138) 0:01:20.208 ***** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check rsyslog.conf size (more)] ****************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:18 Saturday 24 February 2024 08:47:41 +0000 (0:00:00.021) 0:01:20.230 ***** skipping: [sut] => { "changed": false, "false_condition": "__conf_size == \"more\"", "skip_reason": "Conditional result was False" } TASK [Check file counts in rsyslog.d] ****************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:23 Saturday 24 February 2024 08:47:41 +0000 (0:00:00.020) 0:01:20.250 ***** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check conf files exist] ************************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:27 Saturday 24 February 2024 08:47:41 +0000 (0:00:00.020) 0:01:20.271 ***** ok: [sut] => (item=/etc/rsyslog.d/30-output-files-files_test0.conf) => { "ansible_loop_var": "item", "changed": false, "failed_when_result": false, "item": "/etc/rsyslog.d/30-output-files-files_test0.conf", "stat": { "atime": 1708764461.5096812, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "ctime": 1708764455.9478118, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263358, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1708764455.8208148, "nlink": 1, "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 152, "uid": 0, "version": "394641072", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } ok: [sut] => (item=/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf) => { "ansible_loop_var": "item", "changed": false, "failed_when_result": false, "item": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "stat": { "atime": 1708764461.5096812, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "abd1df8a8660132232f2fe4e30a409e4463cfa40", "ctime": 1708764458.6067493, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263361, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1708764458.4717526, "nlink": 1, "path": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 299, "uid": 0, "version": "394641104", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } ok: [sut] => (item=/etc/rsyslog.d/90-input-files-files_input.conf) => { "ansible_loop_var": "item", "changed": false, "failed_when_result": false, "item": "/etc/rsyslog.d/90-input-files-files_input.conf", "stat": { "atime": 1708764461.5096812, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "d3b69911b4556aa273b709a76c94c8eb154c8696", "ctime": 1708764454.617843, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263356, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1708764454.489846, "nlink": 1, "path": "/etc/rsyslog.d/90-input-files-files_input.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 265, "uid": 0, "version": "394641056", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [Check rsyslog errors] **************************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:35 Saturday 24 February 2024 08:47:42 +0000 (0:00:00.400) 0:01:20.671 ***** skipping: [sut] => { "changed": false, "false_condition": "__check_systemctl_status == \"true\"", "skip_reason": "Conditional result was False" } TASK [Generate test config file to be overridden] ****************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:443 Saturday 24 February 2024 08:47:42 +0000 (0:00:00.015) 0:01:20.687 ***** changed: [sut] => { "changed": true, "checksum": "2037a21ce163b09c9f9764a89cb48ea463b387de", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "gid": 0, "group": "root", "md5sum": "4fd020822a6c0e3d9c4649546ebf1c88", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 496, "src": "/root/.ansible/tmp/ansible-tmp-1708764462.3742633-4578-35882627138830/source", "state": "file", "uid": 0 } TASK [Check ports managed by firewall and selinux] ***************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:464 Saturday 24 February 2024 08:47:42 +0000 (0:00:00.354) 0:01:21.041 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml for sut TASK [Check ports are not retrieved if both logging_manage_firewall and logging_manage_selinux are not true] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:3 Saturday 24 February 2024 08:47:42 +0000 (0:00:00.095) 0:01:21.137 ***** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check firewall port status (manage - tcp)] ******************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:13 Saturday 24 February 2024 08:47:42 +0000 (0:00:00.031) 0:01:21.169 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check firewall port status (manage - udp)] ******************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:22 Saturday 24 February 2024 08:47:42 +0000 (0:00:00.014) 0:01:21.184 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - tcp)] *************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:34 Saturday 24 February 2024 08:47:42 +0000 (0:00:00.014) 0:01:21.198 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - udp)] *************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:44 Saturday 24 February 2024 08:47:42 +0000 (0:00:00.024) 0:01:21.222 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Deploy reduced config to output into local files] ************************ task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:467 Saturday 24 February 2024 08:47:42 +0000 (0:00:00.014) 0:01:21.237 ***** TASK [fedora.linux_system_roles.logging : Set files output if files output is not defined and logging_inputs is not empty] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:7 Saturday 24 February 2024 08:47:42 +0000 (0:00:00.086) 0:01:21.323 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_outputs | d([]) | selectattr('name', 'defined') | selectattr('type', 'defined') | selectattr('type', 'match', '^files$') | list | length == 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Set rsyslog_outputs] ***************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:14 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.031) 0:01:21.355 ***** ok: [sut] => { "ansible_facts": { "rsyslog_outputs": [ { "exclude": [ "authpriv.none", "auth.none", "cron.none", "mail.none" ], "name": "files_test0", "path": "/var/log/messages", "severity": "info", "type": "files" }, { "facility": "authpriv,auth", "name": "files_test1", "path": "/var/log/secure", "state": "absent", "type": "files" }, { "facility": "local1", "name": "forwards_severity_and_facility", "severity": "info", "state": "absent", "target": "host.domain", "tcp_port": 1514, "type": "forwards" }, { "facility": "local2", "name": "forwards_facility_only", "state": "absent", "target": "host.domain", "tcp_port": 2514, "type": "forwards" } ] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set rsyslog_inputs] ****************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:18 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.034) 0:01:21.390 ***** ok: [sut] => { "ansible_facts": { "rsyslog_inputs": [ { "name": "basic_input", "ratelimit_burst": 33333, "type": "basics" }, { "input_log_path": "/var/log/inputdirectory/*.log", "name": "files_input", "state": "absent", "type": "files" } ] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set custom_config_files fact] ******** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:22 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.031) 0:01:21.421 ***** skipping: [sut] => (item={'name': 'files_test0', 'type': 'files', 'severity': 'info', 'exclude': ['authpriv.none', 'auth.none', 'cron.none', 'mail.none'], 'path': '/var/log/messages'}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "exclude": [ "authpriv.none", "auth.none", "cron.none", "mail.none" ], "name": "files_test0", "path": "/var/log/messages", "severity": "info", "type": "files" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'files_test1', 'type': 'files', 'facility': 'authpriv,auth', 'path': '/var/log/secure', 'state': 'absent'}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "facility": "authpriv,auth", "name": "files_test1", "path": "/var/log/secure", "state": "absent", "type": "files" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'forwards_severity_and_facility', 'type': 'forwards', 'facility': 'local1', 'severity': 'info', 'target': 'host.domain', 'tcp_port': 1514, 'state': 'absent'}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "facility": "local1", "name": "forwards_severity_and_facility", "severity": "info", "state": "absent", "target": "host.domain", "tcp_port": 1514, "type": "forwards" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'forwards_facility_only', 'type': 'forwards', 'facility': 'local2', 'target': 'host.domain', 'tcp_port': 2514, 'state': 'absent'}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.type | d('') == 'custom'", "item": { "facility": "local2", "name": "forwards_facility_only", "state": "absent", "target": "host.domain", "tcp_port": 2514, "type": "forwards" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.logging : Check logging_inputs item in logging_flows.inputs] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:34 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.047) 0:01:21.469 ***** skipping: [sut] => (item={'name': 'flow_0', 'inputs': ['basic_input'], 'outputs': ['files_test0']}) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item.inputs | intersect(__logging_input_names) | length != item.inputs | length", "item": { "inputs": [ "basic_input" ], "name": "flow_0", "outputs": [ "files_test0" ] }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.logging : Gather ports specified in the logging_inputs and outputs vars] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:44 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.034) 0:01:21.504 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_tls_tcp_ports] **** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:3 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.044) 0:01:21.549 ***** ok: [sut] => { "ansible_facts": { "logging_tls_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tcp_ports] ******** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:7 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.033) 0:01:21.583 ***** ok: [sut] => { "ansible_facts": { "logging_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tls_udp_ports] **** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:11 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.036) 0:01:21.619 ***** ok: [sut] => { "ansible_facts": { "logging_tls_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_udp_ports] ******** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:15 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.031) 0:01:21.650 ***** ok: [sut] => { "ansible_facts": { "logging_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Parameter 'port' values] ************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:24 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.030) 0:01:21.681 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:88 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.028) 0:01:21.709 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:92 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.147) 0:01:21.857 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:96 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.028) 0:01:21.886 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:100 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.030) 0:01:21.916 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:104 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.027) 0:01:21.944 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:108 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.030) 0:01:21.974 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:153 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.028) 0:01:22.003 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:157 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.030) 0:01:22.034 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:161 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.027) 0:01:22.061 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:165 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.030) 0:01:22.092 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage firewall on the gathered ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:47 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.029) 0:01:22.121 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_firewall_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:7 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.046) 0:01:22.168 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add tcp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:11 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.030) 0:01:22.198 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add udp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:17 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.025) 0:01:22.224 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage firewall for specified ports] ************************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:23 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.026) 0:01:22.250 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage selinux on the gathered ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:50 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.027) 0:01:22.277 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_selinux_ports] **** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:7 Saturday 24 February 2024 08:47:43 +0000 (0:00:00.044) 0:01:22.322 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add non tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:11 Saturday 24 February 2024 08:47:44 +0000 (0:00:00.028) 0:01:22.351 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:16 Saturday 24 February 2024 08:47:44 +0000 (0:00:00.017) 0:01:22.369 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add non tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:21 Saturday 24 February 2024 08:47:44 +0000 (0:00:00.017) 0:01:22.386 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:26 Saturday 24 February 2024 08:47:44 +0000 (0:00:00.018) 0:01:22.404 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage selinux for specified ports] ************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:31 Saturday 24 February 2024 08:47:44 +0000 (0:00:00.077) 0:01:22.482 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Generate certificates] *************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:54 Saturday 24 February 2024 08:47:44 +0000 (0:00:00.029) 0:01:22.511 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml for sut TASK [Generate certificates] *************************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml:2 Saturday 24 February 2024 08:47:44 +0000 (0:00:00.046) 0:01:22.558 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_certificates | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Re-read facts after adding custom fact] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:60 Saturday 24 February 2024 08:47:44 +0000 (0:00:00.030) 0:01:22.588 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug dir] ************ task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:64 Saturday 24 February 2024 08:47:44 +0000 (0:00:00.028) 0:01:22.616 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Delete debug file] ******************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:70 Saturday 24 February 2024 08:47:44 +0000 (0:00:00.031) 0:01:22.647 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug file] *********** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:75 Saturday 24 February 2024 08:47:44 +0000 (0:00:00.035) 0:01:22.683 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Use a debug var to avoid an empty dict in with_dict] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:82 Saturday 24 February 2024 08:47:44 +0000 (0:00:00.032) 0:01:22.715 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Populate rsyslog debug file] ********* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:86 Saturday 24 February 2024 08:47:44 +0000 (0:00:00.036) 0:01:22.751 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [Include Rsyslog role] **************************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:97 Saturday 24 February 2024 08:47:44 +0000 (0:00:00.048) 0:01:22.800 ***** TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:4 Saturday 24 February 2024 08:47:44 +0000 (0:00:00.029) 0:01:22.830 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Ensure ansible_facts used by role] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:4 Saturday 24 February 2024 08:47:44 +0000 (0:00:00.026) 0:01:22.857 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_required_facts | difference(ansible_facts.keys() | list) | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check if system is ostree] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:12 Saturday 24 February 2024 08:47:44 +0000 (0:00:00.029) 0:01:22.886 ***** skipping: [sut] => { "changed": false, "false_condition": "not __logging_is_ostree is defined", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set flag to indicate system is ostree] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:17 Saturday 24 February 2024 08:47:44 +0000 (0:00:00.020) 0:01:22.907 ***** skipping: [sut] => { "changed": false, "false_condition": "not __logging_is_ostree is defined", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:21 Saturday 24 February 2024 08:47:44 +0000 (0:00:00.019) 0:01:22.926 ***** ok: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml", "skip_reason": "Conditional result was False" } ok: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics_rhel7.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include /vars/main.yml from /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:31 Saturday 24 February 2024 08:47:44 +0000 (0:00:00.043) 0:01:22.970 ***** skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Main rsyslog subrole] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:7 Saturday 24 February 2024 08:47:44 +0000 (0:00:00.050) 0:01:23.020 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get status of rsyslog packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:15 Saturday 24 February 2024 08:47:44 +0000 (0:00:00.052) 0:01:23.073 ***** skipping: [sut] => (item=iproute) => { "ansible_loop_var": "item", "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "item": "iproute", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=rsyslog) => { "ansible_loop_var": "item", "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "item": "rsyslog", "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset original confs - logging package is absent] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:22 Saturday 24 February 2024 08:47:44 +0000 (0:00:00.085) 0:01:23.159 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:30 Saturday 24 February 2024 08:47:44 +0000 (0:00:00.026) 0:01:23.185 ***** ok: [sut] => { "changed": false, "rc": 0, "results": [ "iproute-4.11.0-30.el7.x86_64 providing iproute is already installed", "rsyslog-8.24.0-57.el7_9.3.x86_64 providing rsyslog is already installed" ] } lsrpackages: iproute rsyslog TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset erased flag] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:40 Saturday 24 February 2024 08:47:45 +0000 (0:00:00.335) 0:01:23.520 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_erased": false }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 Saturday 24 February 2024 08:47:45 +0000 (0:00:00.018) 0:01:23.539 ***** ok: [sut] => { "ansible_facts": { "packages": { "NetworkManager": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-libnm": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-libnm", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-team": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-team", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-tui": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-tui", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "PyYAML": [ { "arch": "x86_64", "epoch": null, "name": "PyYAML", "release": "11.el7", "source": "rpm", "version": "3.10" } ], "acl": [ { "arch": "x86_64", "epoch": null, "name": "acl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "aic94xx-firmware": [ { "arch": "noarch", "epoch": null, "name": "aic94xx-firmware", "release": "6.el7", "source": "rpm", "version": "30" } ], "alsa-firmware": [ { "arch": "noarch", "epoch": null, "name": "alsa-firmware", "release": "2.el7", "source": "rpm", "version": "1.0.28" } ], "alsa-lib": [ { "arch": "x86_64", "epoch": null, "name": "alsa-lib", "release": "1.el7", "source": "rpm", "version": "1.1.8" } ], "alsa-tools-firmware": [ { "arch": "x86_64", "epoch": null, "name": "alsa-tools-firmware", "release": "1.el7", "source": "rpm", "version": "1.1.0" } ], "aspell": [ { "arch": "x86_64", "epoch": 12, "name": "aspell", "release": "9.el7", "source": "rpm", "version": "0.60.6.1" } ], "audit": [ { "arch": "x86_64", "epoch": null, "name": "audit", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs-python": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs-python", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "authconfig": [ { "arch": "x86_64", "epoch": null, "name": "authconfig", "release": "30.el7", "source": "rpm", "version": "6.2.8" } ], "avahi-libs": [ { "arch": "x86_64", "epoch": null, "name": "avahi-libs", "release": "20.el7", "source": "rpm", "version": "0.6.31" } ], "basesystem": [ { "arch": "noarch", "epoch": null, "name": "basesystem", "release": "7.el7.centos", "source": "rpm", "version": "10.0" } ], "bash": [ { "arch": "x86_64", "epoch": null, "name": "bash", "release": "35.el7_9", "source": "rpm", "version": "4.2.46" } ], "bc": [ { "arch": "x86_64", "epoch": null, "name": "bc", "release": "13.el7", "source": "rpm", "version": "1.06.95" } ], "bind-export-libs": [ { "arch": "x86_64", "epoch": 32, "name": "bind-export-libs", "release": "26.P2.el7_9.15", "source": "rpm", "version": "9.11.4" } ], "binutils": [ { "arch": "x86_64", "epoch": null, "name": "binutils", "release": "44.base.el7_9.1", "source": "rpm", "version": "2.27" } ], "biosdevname": [ { "arch": "x86_64", "epoch": null, "name": "biosdevname", "release": "2.el7", "source": "rpm", "version": "0.7.3" } ], "boost-date-time": [ { "arch": "x86_64", "epoch": null, "name": "boost-date-time", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-system": [ { "arch": "x86_64", "epoch": null, "name": "boost-system", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-thread": [ { "arch": "x86_64", "epoch": null, "name": "boost-thread", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "btrfs-progs": [ { "arch": "x86_64", "epoch": null, "name": "btrfs-progs", "release": "1.el7", "source": "rpm", "version": "4.9.1" } ], "bzip2-libs": [ { "arch": "x86_64", "epoch": null, "name": "bzip2-libs", "release": "13.el7", "source": "rpm", "version": "1.0.6" } ], "ca-certificates": [ { "arch": "noarch", "epoch": null, "name": "ca-certificates", "release": "72.el7_9", "source": "rpm", "version": "2023.2.60_v7.0.306" } ], "centos-logos": [ { "arch": "noarch", "epoch": null, "name": "centos-logos", "release": "3.el7.centos", "source": "rpm", "version": "70.0.6" } ], "centos-release": [ { "arch": "x86_64", "epoch": null, "name": "centos-release", "release": "9.2009.1.el7.centos", "source": "rpm", "version": "7" } ], "checkpolicy": [ { "arch": "x86_64", "epoch": null, "name": "checkpolicy", "release": "8.el7", "source": "rpm", "version": "2.5" } ], "chkconfig": [ { "arch": "x86_64", "epoch": null, "name": "chkconfig", "release": "1.el7", "source": "rpm", "version": "1.7.6" } ], "chrony": [ { "arch": "x86_64", "epoch": null, "name": "chrony", "release": "1.el7", "source": "rpm", "version": "3.4" } ], "cloud-utils-growpart": [ { "arch": "noarch", "epoch": null, "name": "cloud-utils-growpart", "release": "5.el7", "source": "rpm", "version": "0.29" } ], "coreutils": [ { "arch": "x86_64", "epoch": null, "name": "coreutils", "release": "24.el7_9.2", "source": "rpm", "version": "8.22" } ], "cpio": [ { "arch": "x86_64", "epoch": null, "name": "cpio", "release": "28.el7", "source": "rpm", "version": "2.11" } ], "cpp": [ { "arch": "x86_64", "epoch": null, "name": "cpp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "cracklib": [ { "arch": "x86_64", "epoch": null, "name": "cracklib", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "cracklib-dicts": [ { "arch": "x86_64", "epoch": null, "name": "cracklib-dicts", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "createrepo": [ { "arch": "noarch", "epoch": null, "name": "createrepo", "release": "28.el7", "source": "rpm", "version": "0.9.9" } ], "cronie": [ { "arch": "x86_64", "epoch": null, "name": "cronie", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "cronie-anacron": [ { "arch": "x86_64", "epoch": null, "name": "cronie-anacron", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "crontabs": [ { "arch": "noarch", "epoch": null, "name": "crontabs", "release": "6.20121102git.el7", "source": "rpm", "version": "1.11" } ], "cryptsetup-libs": [ { "arch": "x86_64", "epoch": null, "name": "cryptsetup-libs", "release": "6.el7", "source": "rpm", "version": "2.0.3" } ], "curl": [ { "arch": "x86_64", "epoch": null, "name": "curl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "cyrus-sasl-lib": [ { "arch": "x86_64", "epoch": null, "name": "cyrus-sasl-lib", "release": "24.el7_9", "source": "rpm", "version": "2.1.26" } ], "dbus": [ { "arch": "x86_64", "epoch": 1, "name": "dbus", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-glib": [ { "arch": "x86_64", "epoch": null, "name": "dbus-glib", "release": "7.el7", "source": "rpm", "version": "0.100" } ], "dbus-libs": [ { "arch": "x86_64", "epoch": 1, "name": "dbus-libs", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-python": [ { "arch": "x86_64", "epoch": null, "name": "dbus-python", "release": "9.el7", "source": "rpm", "version": "1.1.1" } ], "deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "device-mapper": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "device-mapper-libs": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper-libs", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "dhcp-common": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-common", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "dhcp-libs": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-libs", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "diffutils": [ { "arch": "x86_64", "epoch": null, "name": "diffutils", "release": "6.el7_9", "source": "rpm", "version": "3.3" } ], "dmidecode": [ { "arch": "x86_64", "epoch": 1, "name": "dmidecode", "release": "5.el7_9.1", "source": "rpm", "version": "3.2" } ], "dnf-data": [ { "arch": "noarch", "epoch": null, "name": "dnf-data", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "dracut": [ { "arch": "x86_64", "epoch": null, "name": "dracut", "release": "572.el7", "source": "rpm", "version": "033" } ], "dracut-config-rescue": [ { "arch": "x86_64", "epoch": null, "name": "dracut-config-rescue", "release": "572.el7", "source": "rpm", "version": "033" } ], "dyninst": [ { "arch": "x86_64", "epoch": null, "name": "dyninst", "release": "3.el7", "source": "rpm", "version": "9.3.1" } ], "e2fsprogs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "e2fsprogs-libs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs-libs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "ebtables": [ { "arch": "x86_64", "epoch": null, "name": "ebtables", "release": "16.el7", "source": "rpm", "version": "2.0.10" } ], "efivar-libs": [ { "arch": "x86_64", "epoch": null, "name": "efivar-libs", "release": "12.el7", "source": "rpm", "version": "36" } ], "elfutils-default-yama-scope": [ { "arch": "noarch", "epoch": null, "name": "elfutils-default-yama-scope", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libelf": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libelf", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libs", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "epel-release": [ { "arch": "noarch", "epoch": null, "name": "epel-release", "release": "14", "source": "rpm", "version": "7" } ], "ethtool": [ { "arch": "x86_64", "epoch": 2, "name": "ethtool", "release": "10.el7", "source": "rpm", "version": "4.8" } ], "expat": [ { "arch": "x86_64", "epoch": null, "name": "expat", "release": "15.el7_9", "source": "rpm", "version": "2.1.0" } ], "file": [ { "arch": "x86_64", "epoch": null, "name": "file", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "file-libs": [ { "arch": "x86_64", "epoch": null, "name": "file-libs", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "filesystem": [ { "arch": "x86_64", "epoch": null, "name": "filesystem", "release": "25.el7", "source": "rpm", "version": "3.2" } ], "findutils": [ { "arch": "x86_64", "epoch": 1, "name": "findutils", "release": "6.el7", "source": "rpm", "version": "4.5.11" } ], "fipscheck": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "fipscheck-lib": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck-lib", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "firewalld": [ { "arch": "noarch", "epoch": null, "name": "firewalld", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "firewalld-filesystem": [ { "arch": "noarch", "epoch": null, "name": "firewalld-filesystem", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "freetype": [ { "arch": "x86_64", "epoch": null, "name": "freetype", "release": "14.el7_9.1", "source": "rpm", "version": "2.8" } ], "fxload": [ { "arch": "x86_64", "epoch": null, "name": "fxload", "release": "16.el7", "source": "rpm", "version": "2002_04_11" } ], "gawk": [ { "arch": "x86_64", "epoch": null, "name": "gawk", "release": "4.el7_3.1", "source": "rpm", "version": "4.0.2" } ], "gcc": [ { "arch": "x86_64", "epoch": null, "name": "gcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "gdbm": [ { "arch": "x86_64", "epoch": null, "name": "gdbm", "release": "8.el7", "source": "rpm", "version": "1.10" } ], "gettext": [ { "arch": "x86_64", "epoch": null, "name": "gettext", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "gettext-libs": [ { "arch": "x86_64", "epoch": null, "name": "gettext-libs", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "git": [ { "arch": "x86_64", "epoch": null, "name": "git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "glib2": [ { "arch": "x86_64", "epoch": null, "name": "glib2", "release": "9.el7_9", "source": "rpm", "version": "2.56.1" } ], "glibc": [ { "arch": "x86_64", "epoch": null, "name": "glibc", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-common": [ { "arch": "x86_64", "epoch": null, "name": "glibc-common", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-devel": [ { "arch": "x86_64", "epoch": null, "name": "glibc-devel", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-headers": [ { "arch": "x86_64", "epoch": null, "name": "glibc-headers", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "gmp": [ { "arch": "x86_64", "epoch": 1, "name": "gmp", "release": "15.el7", "source": "rpm", "version": "6.0.0" } ], "gnupg2": [ { "arch": "x86_64", "epoch": null, "name": "gnupg2", "release": "5.el7_5", "source": "rpm", "version": "2.0.22" } ], "gobject-introspection": [ { "arch": "x86_64", "epoch": null, "name": "gobject-introspection", "release": "1.el7", "source": "rpm", "version": "1.56.1" } ], "gpg-pubkey": [ { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "52ae6884", "source": "rpm", "version": "352c64e5" }, { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "53a7ff4b", "source": "rpm", "version": "f4a80eb5" } ], "gpgme": [ { "arch": "x86_64", "epoch": null, "name": "gpgme", "release": "5.el7", "source": "rpm", "version": "1.3.2" } ], "gpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "gpm-libs", "release": "6.el7", "source": "rpm", "version": "1.20.7" } ], "grep": [ { "arch": "x86_64", "epoch": null, "name": "grep", "release": "3.el7", "source": "rpm", "version": "2.20" } ], "groff-base": [ { "arch": "x86_64", "epoch": null, "name": "groff-base", "release": "8.el7", "source": "rpm", "version": "1.22.2" } ], "grub2": [ { "arch": "x86_64", "epoch": 1, "name": "grub2", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-common": [ { "arch": "noarch", "epoch": 1, "name": "grub2-common", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-pc", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc-modules": [ { "arch": "noarch", "epoch": 1, "name": "grub2-pc-modules", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-extra": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-extra", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-minimal": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-minimal", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grubby": [ { "arch": "x86_64", "epoch": null, "name": "grubby", "release": "26.el7", "source": "rpm", "version": "8.28" } ], "gssproxy": [ { "arch": "x86_64", "epoch": null, "name": "gssproxy", "release": "30.el7_9", "source": "rpm", "version": "0.7.0" } ], "gzip": [ { "arch": "x86_64", "epoch": null, "name": "gzip", "release": "11.el7_9", "source": "rpm", "version": "1.5" } ], "hardlink": [ { "arch": "x86_64", "epoch": 1, "name": "hardlink", "release": "19.el7", "source": "rpm", "version": "1.0" } ], "hostname": [ { "arch": "x86_64", "epoch": null, "name": "hostname", "release": "3.el7_7.1", "source": "rpm", "version": "3.13" } ], "hwdata": [ { "arch": "x86_64", "epoch": null, "name": "hwdata", "release": "9.7.el7", "source": "rpm", "version": "0.252" } ], "info": [ { "arch": "x86_64", "epoch": null, "name": "info", "release": "5.el7", "source": "rpm", "version": "5.1" } ], "iproute": [ { "arch": "x86_64", "epoch": null, "name": "iproute", "release": "30.el7", "source": "rpm", "version": "4.11.0" } ], "iprutils": [ { "arch": "x86_64", "epoch": null, "name": "iprutils", "release": "3.el7_7", "source": "rpm", "version": "2.4.17.1" } ], "ipset": [ { "arch": "x86_64", "epoch": null, "name": "ipset", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "ipset-libs": [ { "arch": "x86_64", "epoch": null, "name": "ipset-libs", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "iptables": [ { "arch": "x86_64", "epoch": null, "name": "iptables", "release": "35.el7", "source": "rpm", "version": "1.4.21" } ], "iputils": [ { "arch": "x86_64", "epoch": null, "name": "iputils", "release": "10.el7", "source": "rpm", "version": "20160308" } ], "irqbalance": [ { "arch": "x86_64", "epoch": 3, "name": "irqbalance", "release": "12.el7", "source": "rpm", "version": "1.0.7" } ], "ivtv-firmware": [ { "arch": "noarch", "epoch": 2, "name": "ivtv-firmware", "release": "26.el7", "source": "rpm", "version": "20080701" } ], "iwl100-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl100-firmware", "release": "82.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl1000-firmware": [ { "arch": "noarch", "epoch": 1, "name": "iwl1000-firmware", "release": "82.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl105-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl105-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl135-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl135-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2000-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2030-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2030-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl3160-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3160-firmware", "release": "82.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "iwl3945-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3945-firmware", "release": "82.el7_9", "source": "rpm", "version": "15.32.2.9" } ], "iwl4965-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl4965-firmware", "release": "82.el7_9", "source": "rpm", "version": "228.61.2.24" } ], "iwl5000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5000-firmware", "release": "82.el7_9", "source": "rpm", "version": "8.83.5.1_1" } ], "iwl5150-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5150-firmware", "release": "82.el7_9", "source": "rpm", "version": "8.24.2.2" } ], "iwl6000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000-firmware", "release": "82.el7_9", "source": "rpm", "version": "9.221.4.1" } ], "iwl6000g2a-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2a-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6000g2b-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2b-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6050-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6050-firmware", "release": "82.el7_9", "source": "rpm", "version": "41.28.5.1" } ], "iwl7260-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl7260-firmware", "release": "82.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "jansson": [ { "arch": "x86_64", "epoch": null, "name": "jansson", "release": "1.el7", "source": "rpm", "version": "2.10" } ], "json-c": [ { "arch": "x86_64", "epoch": null, "name": "json-c", "release": "4.el7_0", "source": "rpm", "version": "0.11" } ], "kbd-legacy": [ { "arch": "noarch", "epoch": null, "name": "kbd-legacy", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kbd-misc": [ { "arch": "noarch", "epoch": null, "name": "kbd-misc", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kernel": [ { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.el7", "source": "rpm", "version": "3.10.0" }, { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-debug-devel": [ { "arch": "x86_64", "epoch": null, "name": "kernel-debug-devel", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-headers": [ { "arch": "x86_64", "epoch": null, "name": "kernel-headers", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools-libs": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools-libs", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "keyutils": [ { "arch": "x86_64", "epoch": null, "name": "keyutils", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "keyutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "keyutils-libs", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "kmod": [ { "arch": "x86_64", "epoch": null, "name": "kmod", "release": "28.el7", "source": "rpm", "version": "20" } ], "kmod-libs": [ { "arch": "x86_64", "epoch": null, "name": "kmod-libs", "release": "28.el7", "source": "rpm", "version": "20" } ], "kpartx": [ { "arch": "x86_64", "epoch": null, "name": "kpartx", "release": "136.el7_9", "source": "rpm", "version": "0.4.9" } ], "krb5-libs": [ { "arch": "x86_64", "epoch": null, "name": "krb5-libs", "release": "55.el7_9", "source": "rpm", "version": "1.15.1" } ], "less": [ { "arch": "x86_64", "epoch": null, "name": "less", "release": "9.el7", "source": "rpm", "version": "458" } ], "libacl": [ { "arch": "x86_64", "epoch": null, "name": "libacl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "libassuan": [ { "arch": "x86_64", "epoch": null, "name": "libassuan", "release": "3.el7", "source": "rpm", "version": "2.1.0" } ], "libattr": [ { "arch": "x86_64", "epoch": null, "name": "libattr", "release": "13.el7", "source": "rpm", "version": "2.4.46" } ], "libbasicobjects": [ { "arch": "x86_64", "epoch": null, "name": "libbasicobjects", "release": "32.el7", "source": "rpm", "version": "0.1.1" } ], "libblkid": [ { "arch": "x86_64", "epoch": null, "name": "libblkid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libcap": [ { "arch": "x86_64", "epoch": null, "name": "libcap", "release": "11.el7", "source": "rpm", "version": "2.22" } ], "libcap-ng": [ { "arch": "x86_64", "epoch": null, "name": "libcap-ng", "release": "4.el7", "source": "rpm", "version": "0.7.5" } ], "libcgroup": [ { "arch": "x86_64", "epoch": null, "name": "libcgroup", "release": "21.el7", "source": "rpm", "version": "0.41" } ], "libcollection": [ { "arch": "x86_64", "epoch": null, "name": "libcollection", "release": "32.el7", "source": "rpm", "version": "0.7.0" } ], "libcom_err": [ { "arch": "x86_64", "epoch": null, "name": "libcom_err", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libcomps": [ { "arch": "x86_64", "epoch": null, "name": "libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "libcroco": [ { "arch": "x86_64", "epoch": null, "name": "libcroco", "release": "6.el7_9", "source": "rpm", "version": "0.6.12" } ], "libcurl": [ { "arch": "x86_64", "epoch": null, "name": "libcurl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "libdaemon": [ { "arch": "x86_64", "epoch": null, "name": "libdaemon", "release": "7.el7", "source": "rpm", "version": "0.14" } ], "libdb": [ { "arch": "x86_64", "epoch": null, "name": "libdb", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdb-utils": [ { "arch": "x86_64", "epoch": null, "name": "libdb-utils", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdnf": [ { "arch": "x86_64", "epoch": null, "name": "libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "libdrm": [ { "arch": "x86_64", "epoch": null, "name": "libdrm", "release": "2.el7", "source": "rpm", "version": "2.4.97" } ], "libdwarf": [ { "arch": "x86_64", "epoch": null, "name": "libdwarf", "release": "4.el7", "source": "rpm", "version": "20130207" } ], "libedit": [ { "arch": "x86_64", "epoch": null, "name": "libedit", "release": "12.20121213cvs.el7", "source": "rpm", "version": "3.0" } ], "libestr": [ { "arch": "x86_64", "epoch": null, "name": "libestr", "release": "2.el7", "source": "rpm", "version": "0.1.9" } ], "libevent": [ { "arch": "x86_64", "epoch": null, "name": "libevent", "release": "4.el7", "source": "rpm", "version": "2.0.21" } ], "libfastjson": [ { "arch": "x86_64", "epoch": null, "name": "libfastjson", "release": "3.el7", "source": "rpm", "version": "0.99.4" } ], "libffi": [ { "arch": "x86_64", "epoch": null, "name": "libffi", "release": "19.el7", "source": "rpm", "version": "3.0.13" } ], "libgcc": [ { "arch": "x86_64", "epoch": null, "name": "libgcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgcrypt": [ { "arch": "x86_64", "epoch": null, "name": "libgcrypt", "release": "14.el7", "source": "rpm", "version": "1.5.3" } ], "libgomp": [ { "arch": "x86_64", "epoch": null, "name": "libgomp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgpg-error": [ { "arch": "x86_64", "epoch": null, "name": "libgpg-error", "release": "3.el7", "source": "rpm", "version": "1.12" } ], "libidn": [ { "arch": "x86_64", "epoch": null, "name": "libidn", "release": "4.el7", "source": "rpm", "version": "1.28" } ], "libini_config": [ { "arch": "x86_64", "epoch": null, "name": "libini_config", "release": "32.el7", "source": "rpm", "version": "1.3.1" } ], "libmnl": [ { "arch": "x86_64", "epoch": null, "name": "libmnl", "release": "7.el7", "source": "rpm", "version": "1.0.3" } ], "libmodulemd": [ { "arch": "x86_64", "epoch": null, "name": "libmodulemd", "release": "1.el7", "source": "rpm", "version": "1.6.3" } ], "libmount": [ { "arch": "x86_64", "epoch": null, "name": "libmount", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libmpc": [ { "arch": "x86_64", "epoch": null, "name": "libmpc", "release": "3.el7", "source": "rpm", "version": "1.0.1" } ], "libndp": [ { "arch": "x86_64", "epoch": null, "name": "libndp", "release": "9.el7", "source": "rpm", "version": "1.2" } ], "libnetfilter_conntrack": [ { "arch": "x86_64", "epoch": null, "name": "libnetfilter_conntrack", "release": "1.el7_3", "source": "rpm", "version": "1.0.6" } ], "libnfnetlink": [ { "arch": "x86_64", "epoch": null, "name": "libnfnetlink", "release": "4.el7", "source": "rpm", "version": "1.0.1" } ], "libnfsidmap": [ { "arch": "x86_64", "epoch": null, "name": "libnfsidmap", "release": "19.el7", "source": "rpm", "version": "0.25" } ], "libnl3": [ { "arch": "x86_64", "epoch": null, "name": "libnl3", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libnl3-cli": [ { "arch": "x86_64", "epoch": null, "name": "libnl3-cli", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libpath_utils": [ { "arch": "x86_64", "epoch": null, "name": "libpath_utils", "release": "32.el7", "source": "rpm", "version": "0.2.1" } ], "libpciaccess": [ { "arch": "x86_64", "epoch": null, "name": "libpciaccess", "release": "1.el7", "source": "rpm", "version": "0.14" } ], "libpipeline": [ { "arch": "x86_64", "epoch": null, "name": "libpipeline", "release": "3.el7", "source": "rpm", "version": "1.2.3" } ], "libpng": [ { "arch": "x86_64", "epoch": 2, "name": "libpng", "release": "8.el7", "source": "rpm", "version": "1.5.13" } ], "libpwquality": [ { "arch": "x86_64", "epoch": null, "name": "libpwquality", "release": "5.el7", "source": "rpm", "version": "1.2.3" } ], "libref_array": [ { "arch": "x86_64", "epoch": null, "name": "libref_array", "release": "32.el7", "source": "rpm", "version": "0.1.5" } ], "librepo": [ { "arch": "x86_64", "epoch": null, "name": "librepo", "release": "8.el7_9", "source": "rpm", "version": "1.8.1" } ], "libreport-filesystem": [ { "arch": "x86_64", "epoch": null, "name": "libreport-filesystem", "release": "53.el7.centos", "source": "rpm", "version": "2.1.11" } ], "libseccomp": [ { "arch": "x86_64", "epoch": null, "name": "libseccomp", "release": "4.el7", "source": "rpm", "version": "2.3.1" } ], "libselinux": [ { "arch": "x86_64", "epoch": null, "name": "libselinux", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-python": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-python", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-utils": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-utils", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libsemanage": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsemanage-python": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage-python", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsepol": [ { "arch": "x86_64", "epoch": null, "name": "libsepol", "release": "10.el7", "source": "rpm", "version": "2.5" } ], "libsmartcols": [ { "arch": "x86_64", "epoch": null, "name": "libsmartcols", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libsolv": [ { "arch": "x86_64", "epoch": null, "name": "libsolv", "release": "4.el7", "source": "rpm", "version": "0.6.34" } ], "libss": [ { "arch": "x86_64", "epoch": null, "name": "libss", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libssh2": [ { "arch": "x86_64", "epoch": null, "name": "libssh2", "release": "4.el7_9.1", "source": "rpm", "version": "1.8.0" } ], "libstdc++": [ { "arch": "x86_64", "epoch": null, "name": "libstdc++", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libsysfs": [ { "arch": "x86_64", "epoch": null, "name": "libsysfs", "release": "16.el7", "source": "rpm", "version": "2.1.0" } ], "libtasn1": [ { "arch": "x86_64", "epoch": null, "name": "libtasn1", "release": "1.el7", "source": "rpm", "version": "4.10" } ], "libteam": [ { "arch": "x86_64", "epoch": null, "name": "libteam", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "libtirpc": [ { "arch": "x86_64", "epoch": null, "name": "libtirpc", "release": "0.16.el7", "source": "rpm", "version": "0.2.4" } ], "libunistring": [ { "arch": "x86_64", "epoch": null, "name": "libunistring", "release": "9.el7", "source": "rpm", "version": "0.9.3" } ], "libuser": [ { "arch": "x86_64", "epoch": null, "name": "libuser", "release": "9.el7", "source": "rpm", "version": "0.60" } ], "libutempter": [ { "arch": "x86_64", "epoch": null, "name": "libutempter", "release": "4.el7", "source": "rpm", "version": "1.1.6" } ], "libuuid": [ { "arch": "x86_64", "epoch": null, "name": "libuuid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libverto": [ { "arch": "x86_64", "epoch": null, "name": "libverto", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libverto-libevent": [ { "arch": "x86_64", "epoch": null, "name": "libverto-libevent", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libxml2": [ { "arch": "x86_64", "epoch": null, "name": "libxml2", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxml2-python": [ { "arch": "x86_64", "epoch": null, "name": "libxml2-python", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxslt": [ { "arch": "x86_64", "epoch": null, "name": "libxslt", "release": "6.el7", "source": "rpm", "version": "1.1.28" } ], "libyaml": [ { "arch": "x86_64", "epoch": null, "name": "libyaml", "release": "11.el7_0", "source": "rpm", "version": "0.1.4" } ], "linux-firmware": [ { "arch": "noarch", "epoch": null, "name": "linux-firmware", "release": "82.git78c0348.el7_9", "source": "rpm", "version": "20200421" } ], "logrotate": [ { "arch": "x86_64", "epoch": null, "name": "logrotate", "release": "19.el7", "source": "rpm", "version": "3.8.6" } ], "lshw": [ { "arch": "x86_64", "epoch": null, "name": "lshw", "release": "0.1.20180614git028f6b2.beaker.1.el7bkr.1", "source": "rpm", "version": "B.02.19" } ], "lsscsi": [ { "arch": "x86_64", "epoch": null, "name": "lsscsi", "release": "6.el7", "source": "rpm", "version": "0.27" } ], "lua": [ { "arch": "x86_64", "epoch": null, "name": "lua", "release": "15.el7", "source": "rpm", "version": "5.1.4" } ], "lz4": [ { "arch": "x86_64", "epoch": null, "name": "lz4", "release": "1.el7", "source": "rpm", "version": "1.8.3" } ], "lzo": [ { "arch": "x86_64", "epoch": null, "name": "lzo", "release": "8.el7", "source": "rpm", "version": "2.06" } ], "mailcap": [ { "arch": "noarch", "epoch": null, "name": "mailcap", "release": "2.el7", "source": "rpm", "version": "2.1.41" } ], "make": [ { "arch": "x86_64", "epoch": 1, "name": "make", "release": "24.el7", "source": "rpm", "version": "3.82" } ], "man-db": [ { "arch": "x86_64", "epoch": null, "name": "man-db", "release": "11.el7", "source": "rpm", "version": "2.6.3" } ], "mariadb-libs": [ { "arch": "x86_64", "epoch": 1, "name": "mariadb-libs", "release": "1.el7", "source": "rpm", "version": "5.5.68" } ], "microcode_ctl": [ { "arch": "x86_64", "epoch": 2, "name": "microcode_ctl", "release": "73.20.el7_9", "source": "rpm", "version": "2.1" } ], "mokutil": [ { "arch": "x86_64", "epoch": null, "name": "mokutil", "release": "8.el7", "source": "rpm", "version": "15" } ], "mozjs17": [ { "arch": "x86_64", "epoch": null, "name": "mozjs17", "release": "20.el7", "source": "rpm", "version": "17.0.0" } ], "mpfr": [ { "arch": "x86_64", "epoch": null, "name": "mpfr", "release": "4.el7", "source": "rpm", "version": "3.1.1" } ], "ncurses": [ { "arch": "x86_64", "epoch": null, "name": "ncurses", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-base": [ { "arch": "noarch", "epoch": null, "name": "ncurses-base", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-libs": [ { "arch": "x86_64", "epoch": null, "name": "ncurses-libs", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "net-tools": [ { "arch": "x86_64", "epoch": null, "name": "net-tools", "release": "0.25.20131004git.el7", "source": "rpm", "version": "2.0" } ], "newt": [ { "arch": "x86_64", "epoch": null, "name": "newt", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "newt-python": [ { "arch": "x86_64", "epoch": null, "name": "newt-python", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "nfs-utils": [ { "arch": "x86_64", "epoch": 1, "name": "nfs-utils", "release": "0.68.el7.2", "source": "rpm", "version": "1.3.0" } ], "nspr": [ { "arch": "x86_64", "epoch": null, "name": "nspr", "release": "1.el7_9", "source": "rpm", "version": "4.35.0" } ], "nss": [ { "arch": "x86_64", "epoch": null, "name": "nss", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-pem": [ { "arch": "x86_64", "epoch": null, "name": "nss-pem", "release": "7.el7_9.1", "source": "rpm", "version": "1.0.3" } ], "nss-softokn": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-softokn-freebl": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn-freebl", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-sysinit": [ { "arch": "x86_64", "epoch": null, "name": "nss-sysinit", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-tools": [ { "arch": "x86_64", "epoch": null, "name": "nss-tools", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-util": [ { "arch": "x86_64", "epoch": null, "name": "nss-util", "release": "1.el7_9", "source": "rpm", "version": "3.90.0" } ], "ntpdate": [ { "arch": "x86_64", "epoch": null, "name": "ntpdate", "release": "29.el7.centos.2", "source": "rpm", "version": "4.2.6p5" } ], "numactl-libs": [ { "arch": "x86_64", "epoch": null, "name": "numactl-libs", "release": "5.el7", "source": "rpm", "version": "2.0.12" } ], "openldap": [ { "arch": "x86_64", "epoch": null, "name": "openldap", "release": "25.el7_9", "source": "rpm", "version": "2.4.44" } ], "openssh": [ { "arch": "x86_64", "epoch": null, "name": "openssh", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-clients": [ { "arch": "x86_64", "epoch": null, "name": "openssh-clients", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-server": [ { "arch": "x86_64", "epoch": null, "name": "openssh-server", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssl": [ { "arch": "x86_64", "epoch": 1, "name": "openssl", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "openssl-libs": [ { "arch": "x86_64", "epoch": 1, "name": "openssl-libs", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "os-prober": [ { "arch": "x86_64", "epoch": null, "name": "os-prober", "release": "9.el7", "source": "rpm", "version": "1.58" } ], "p11-kit": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "p11-kit-trust": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit-trust", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "pam": [ { "arch": "x86_64", "epoch": null, "name": "pam", "release": "23.el7", "source": "rpm", "version": "1.1.8" } ], "parted": [ { "arch": "x86_64", "epoch": null, "name": "parted", "release": "32.el7", "source": "rpm", "version": "3.1" } ], "passwd": [ { "arch": "x86_64", "epoch": null, "name": "passwd", "release": "6.el7", "source": "rpm", "version": "0.79" } ], "pciutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "pciutils-libs", "release": "3.el7", "source": "rpm", "version": "3.5.1" } ], "pcre": [ { "arch": "x86_64", "epoch": null, "name": "pcre", "release": "17.el7", "source": "rpm", "version": "8.32" } ], "perl": [ { "arch": "x86_64", "epoch": 4, "name": "perl", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-Business-ISBN": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN", "release": "2.el7", "source": "rpm", "version": "2.06" } ], "perl-Business-ISBN-Data": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN-Data", "release": "2.el7", "source": "rpm", "version": "20120719.001" } ], "perl-CGI": [ { "arch": "noarch", "epoch": null, "name": "perl-CGI", "release": "4.el7", "source": "rpm", "version": "3.63" } ], "perl-Carp": [ { "arch": "noarch", "epoch": null, "name": "perl-Carp", "release": "244.el7", "source": "rpm", "version": "1.26" } ], "perl-Compress-Raw-Bzip2": [ { "arch": "x86_64", "epoch": null, "name": "perl-Compress-Raw-Bzip2", "release": "3.el7", "source": "rpm", "version": "2.061" } ], "perl-Compress-Raw-Zlib": [ { "arch": "x86_64", "epoch": 1, "name": "perl-Compress-Raw-Zlib", "release": "4.el7", "source": "rpm", "version": "2.061" } ], "perl-Data-Dumper": [ { "arch": "x86_64", "epoch": null, "name": "perl-Data-Dumper", "release": "3.el7", "source": "rpm", "version": "2.145" } ], "perl-Date-Manip": [ { "arch": "noarch", "epoch": null, "name": "perl-Date-Manip", "release": "2.el7", "source": "rpm", "version": "6.41" } ], "perl-Digest": [ { "arch": "noarch", "epoch": null, "name": "perl-Digest", "release": "245.el7", "source": "rpm", "version": "1.17" } ], "perl-Digest-MD5": [ { "arch": "x86_64", "epoch": null, "name": "perl-Digest-MD5", "release": "3.el7", "source": "rpm", "version": "2.52" } ], "perl-Encode": [ { "arch": "x86_64", "epoch": null, "name": "perl-Encode", "release": "7.el7", "source": "rpm", "version": "2.51" } ], "perl-Encode-Locale": [ { "arch": "noarch", "epoch": null, "name": "perl-Encode-Locale", "release": "5.el7", "source": "rpm", "version": "1.03" } ], "perl-Error": [ { "arch": "noarch", "epoch": 1, "name": "perl-Error", "release": "2.el7", "source": "rpm", "version": "0.17020" } ], "perl-Exporter": [ { "arch": "noarch", "epoch": null, "name": "perl-Exporter", "release": "3.el7", "source": "rpm", "version": "5.68" } ], "perl-FCGI": [ { "arch": "x86_64", "epoch": 1, "name": "perl-FCGI", "release": "8.el7", "source": "rpm", "version": "0.74" } ], "perl-File-Listing": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Listing", "release": "7.el7", "source": "rpm", "version": "6.04" } ], "perl-File-Path": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Path", "release": "2.el7", "source": "rpm", "version": "2.09" } ], "perl-File-Temp": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Temp", "release": "3.el7", "source": "rpm", "version": "0.23.01" } ], "perl-Filter": [ { "arch": "x86_64", "epoch": null, "name": "perl-Filter", "release": "3.el7", "source": "rpm", "version": "1.49" } ], "perl-Font-AFM": [ { "arch": "noarch", "epoch": null, "name": "perl-Font-AFM", "release": "13.el7", "source": "rpm", "version": "1.20" } ], "perl-Getopt-Long": [ { "arch": "noarch", "epoch": null, "name": "perl-Getopt-Long", "release": "3.el7", "source": "rpm", "version": "2.40" } ], "perl-Git": [ { "arch": "noarch", "epoch": null, "name": "perl-Git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "perl-HTML-Format": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Format", "release": "7.el7", "source": "rpm", "version": "2.10" } ], "perl-HTML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-HTML-Parser", "release": "4.el7", "source": "rpm", "version": "3.71" } ], "perl-HTML-Tagset": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Tagset", "release": "15.el7", "source": "rpm", "version": "3.20" } ], "perl-HTML-Tree": [ { "arch": "noarch", "epoch": 1, "name": "perl-HTML-Tree", "release": "2.el7", "source": "rpm", "version": "5.03" } ], "perl-HTTP-Cookies": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Cookies", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Daemon": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Daemon", "release": "8.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Date": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Date", "release": "8.el7", "source": "rpm", "version": "6.02" } ], "perl-HTTP-Message": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Message", "release": "6.el7", "source": "rpm", "version": "6.06" } ], "perl-HTTP-Negotiate": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Negotiate", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Tiny": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Tiny", "release": "3.el7", "source": "rpm", "version": "0.033" } ], "perl-IO-Compress": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Compress", "release": "2.el7", "source": "rpm", "version": "2.061" } ], "perl-IO-HTML": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-HTML", "release": "2.el7", "source": "rpm", "version": "1.00" } ], "perl-IO-Socket-IP": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-IP", "release": "5.el7", "source": "rpm", "version": "0.21" } ], "perl-IO-Socket-SSL": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-SSL", "release": "7.el7", "source": "rpm", "version": "1.94" } ], "perl-IO-Zlib": [ { "arch": "noarch", "epoch": 1, "name": "perl-IO-Zlib", "release": "299.el7_9", "source": "rpm", "version": "1.10" } ], "perl-IO-stringy": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-stringy", "release": "22.el7", "source": "rpm", "version": "2.110" } ], "perl-LWP-MediaTypes": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-MediaTypes", "release": "2.el7", "source": "rpm", "version": "6.02" } ], "perl-LWP-Protocol-https": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-Protocol-https", "release": "4.el7", "source": "rpm", "version": "6.04" } ], "perl-Module-Load": [ { "arch": "noarch", "epoch": 1, "name": "perl-Module-Load", "release": "3.el7", "source": "rpm", "version": "0.24" } ], "perl-Mozilla-CA": [ { "arch": "noarch", "epoch": null, "name": "perl-Mozilla-CA", "release": "5.el7", "source": "rpm", "version": "20130114" } ], "perl-Net-HTTP": [ { "arch": "noarch", "epoch": null, "name": "perl-Net-HTTP", "release": "2.el7", "source": "rpm", "version": "6.06" } ], "perl-Net-LibIDN": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-LibIDN", "release": "15.el7", "source": "rpm", "version": "0.12" } ], "perl-Net-SSLeay": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-SSLeay", "release": "6.el7", "source": "rpm", "version": "1.55" } ], "perl-PathTools": [ { "arch": "x86_64", "epoch": null, "name": "perl-PathTools", "release": "5.el7", "source": "rpm", "version": "3.40" } ], "perl-Pod-Escapes": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Escapes", "release": "299.el7_9", "source": "rpm", "version": "1.04" } ], "perl-Pod-Perldoc": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Perldoc", "release": "4.el7", "source": "rpm", "version": "3.20" } ], "perl-Pod-Simple": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Simple", "release": "4.el7", "source": "rpm", "version": "3.28" } ], "perl-Pod-Usage": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Usage", "release": "3.el7", "source": "rpm", "version": "1.63" } ], "perl-Scalar-List-Utils": [ { "arch": "x86_64", "epoch": null, "name": "perl-Scalar-List-Utils", "release": "248.el7", "source": "rpm", "version": "1.27" } ], "perl-Socket": [ { "arch": "x86_64", "epoch": null, "name": "perl-Socket", "release": "5.el7", "source": "rpm", "version": "2.010" } ], "perl-Storable": [ { "arch": "x86_64", "epoch": null, "name": "perl-Storable", "release": "3.el7", "source": "rpm", "version": "2.45" } ], "perl-TermReadKey": [ { "arch": "x86_64", "epoch": null, "name": "perl-TermReadKey", "release": "20.el7", "source": "rpm", "version": "2.30" } ], "perl-Text-ParseWords": [ { "arch": "noarch", "epoch": null, "name": "perl-Text-ParseWords", "release": "4.el7", "source": "rpm", "version": "3.29" } ], "perl-Time-HiRes": [ { "arch": "x86_64", "epoch": 4, "name": "perl-Time-HiRes", "release": "3.el7", "source": "rpm", "version": "1.9725" } ], "perl-Time-Local": [ { "arch": "noarch", "epoch": null, "name": "perl-Time-Local", "release": "2.el7", "source": "rpm", "version": "1.2300" } ], "perl-TimeDate": [ { "arch": "noarch", "epoch": 1, "name": "perl-TimeDate", "release": "2.el7", "source": "rpm", "version": "2.30" } ], "perl-URI": [ { "arch": "noarch", "epoch": null, "name": "perl-URI", "release": "9.el7", "source": "rpm", "version": "1.60" } ], "perl-WWW-RobotRules": [ { "arch": "noarch", "epoch": null, "name": "perl-WWW-RobotRules", "release": "5.el7", "source": "rpm", "version": "6.02" } ], "perl-XML-LibXML": [ { "arch": "x86_64", "epoch": 1, "name": "perl-XML-LibXML", "release": "5.el7", "source": "rpm", "version": "2.0018" } ], "perl-XML-NamespaceSupport": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-NamespaceSupport", "release": "10.el7", "source": "rpm", "version": "1.11" } ], "perl-XML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-XML-Parser", "release": "10.el7", "source": "rpm", "version": "2.41" } ], "perl-XML-SAX": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX", "release": "9.el7", "source": "rpm", "version": "0.99" } ], "perl-XML-SAX-Base": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX-Base", "release": "7.el7", "source": "rpm", "version": "1.08" } ], "perl-XML-Twig": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-Twig", "release": "2.el7", "source": "rpm", "version": "3.44" } ], "perl-constant": [ { "arch": "noarch", "epoch": null, "name": "perl-constant", "release": "2.el7", "source": "rpm", "version": "1.27" } ], "perl-libs": [ { "arch": "x86_64", "epoch": 4, "name": "perl-libs", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-libwww-perl": [ { "arch": "noarch", "epoch": null, "name": "perl-libwww-perl", "release": "2.el7", "source": "rpm", "version": "6.05" } ], "perl-macros": [ { "arch": "x86_64", "epoch": 4, "name": "perl-macros", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-parent": [ { "arch": "noarch", "epoch": 1, "name": "perl-parent", "release": "244.el7", "source": "rpm", "version": "0.225" } ], "perl-podlators": [ { "arch": "noarch", "epoch": null, "name": "perl-podlators", "release": "3.el7", "source": "rpm", "version": "2.5.1" } ], "perl-threads": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads", "release": "4.el7", "source": "rpm", "version": "1.87" } ], "perl-threads-shared": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads-shared", "release": "6.el7", "source": "rpm", "version": "1.43" } ], "pinentry": [ { "arch": "x86_64", "epoch": null, "name": "pinentry", "release": "17.el7", "source": "rpm", "version": "0.8.1" } ], "pkgconfig": [ { "arch": "x86_64", "epoch": 1, "name": "pkgconfig", "release": "4.el7", "source": "rpm", "version": "0.27.1" } ], "plymouth-core-libs": [ { "arch": "x86_64", "epoch": null, "name": "plymouth-core-libs", "release": "0.34.20140113.el7.centos", "source": "rpm", "version": "0.8.9" } ], "policycoreutils": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "policycoreutils-python": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils-python", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "polkit": [ { "arch": "x86_64", "epoch": null, "name": "polkit", "release": "26.el7_9.1", "source": "rpm", "version": "0.112" } ], "polkit-pkla-compat": [ { "arch": "x86_64", "epoch": null, "name": "polkit-pkla-compat", "release": "4.el7", "source": "rpm", "version": "0.1" } ], "popt": [ { "arch": "x86_64", "epoch": null, "name": "popt", "release": "16.el7", "source": "rpm", "version": "1.13" } ], "postfix": [ { "arch": "x86_64", "epoch": 2, "name": "postfix", "release": "9.el7", "source": "rpm", "version": "2.10.1" } ], "procps-ng": [ { "arch": "x86_64", "epoch": null, "name": "procps-ng", "release": "28.el7", "source": "rpm", "version": "3.3.10" } ], "psmisc": [ { "arch": "x86_64", "epoch": null, "name": "psmisc", "release": "17.el7", "source": "rpm", "version": "22.20" } ], "pth": [ { "arch": "x86_64", "epoch": null, "name": "pth", "release": "23.el7", "source": "rpm", "version": "2.0.7" } ], "pygpgme": [ { "arch": "x86_64", "epoch": null, "name": "pygpgme", "release": "9.el7", "source": "rpm", "version": "0.3" } ], "pyliblzma": [ { "arch": "x86_64", "epoch": null, "name": "pyliblzma", "release": "11.el7", "source": "rpm", "version": "0.5.3" } ], "pyserial": [ { "arch": "noarch", "epoch": null, "name": "pyserial", "release": "6.el7", "source": "rpm", "version": "2.6" } ], "python": [ { "arch": "x86_64", "epoch": null, "name": "python", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-IPy": [ { "arch": "noarch", "epoch": null, "name": "python-IPy", "release": "6.el7", "source": "rpm", "version": "0.75" } ], "python-babel": [ { "arch": "noarch", "epoch": null, "name": "python-babel", "release": "8.el7", "source": "rpm", "version": "0.9.6" } ], "python-backports": [ { "arch": "x86_64", "epoch": null, "name": "python-backports", "release": "8.el7", "source": "rpm", "version": "1.0" } ], "python-backports-ssl_match_hostname": [ { "arch": "noarch", "epoch": null, "name": "python-backports-ssl_match_hostname", "release": "1.el7", "source": "rpm", "version": "3.5.0.1" } ], "python-chardet": [ { "arch": "noarch", "epoch": null, "name": "python-chardet", "release": "3.el7", "source": "rpm", "version": "2.2.1" } ], "python-configobj": [ { "arch": "noarch", "epoch": null, "name": "python-configobj", "release": "7.el7", "source": "rpm", "version": "4.7.2" } ], "python-decorator": [ { "arch": "noarch", "epoch": null, "name": "python-decorator", "release": "3.el7", "source": "rpm", "version": "3.4.0" } ], "python-deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "python-deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "python-enum34": [ { "arch": "noarch", "epoch": null, "name": "python-enum34", "release": "1.el7", "source": "rpm", "version": "1.0.4" } ], "python-firewall": [ { "arch": "noarch", "epoch": null, "name": "python-firewall", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "python-gobject-base": [ { "arch": "x86_64", "epoch": null, "name": "python-gobject-base", "release": "1.el7_4.1", "source": "rpm", "version": "3.22.0" } ], "python-iniparse": [ { "arch": "noarch", "epoch": null, "name": "python-iniparse", "release": "9.el7", "source": "rpm", "version": "0.4" } ], "python-ipaddress": [ { "arch": "noarch", "epoch": null, "name": "python-ipaddress", "release": "2.el7", "source": "rpm", "version": "1.0.16" } ], "python-jinja2": [ { "arch": "noarch", "epoch": null, "name": "python-jinja2", "release": "4.el7", "source": "rpm", "version": "2.7.2" } ], "python-jsonpatch": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpatch", "release": "4.el7", "source": "rpm", "version": "1.2" } ], "python-jsonpointer": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpointer", "release": "2.el7", "source": "rpm", "version": "1.9" } ], "python-kitchen": [ { "arch": "noarch", "epoch": null, "name": "python-kitchen", "release": "5.el7", "source": "rpm", "version": "1.1.1" } ], "python-libs": [ { "arch": "x86_64", "epoch": null, "name": "python-libs", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-linux-procfs": [ { "arch": "noarch", "epoch": null, "name": "python-linux-procfs", "release": "4.el7", "source": "rpm", "version": "0.4.11" } ], "python-lxml": [ { "arch": "x86_64", "epoch": null, "name": "python-lxml", "release": "4.el7", "source": "rpm", "version": "3.2.1" } ], "python-markupsafe": [ { "arch": "x86_64", "epoch": null, "name": "python-markupsafe", "release": "10.el7", "source": "rpm", "version": "0.11" } ], "python-perf": [ { "arch": "x86_64", "epoch": null, "name": "python-perf", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "python-prettytable": [ { "arch": "noarch", "epoch": null, "name": "python-prettytable", "release": "3.el7", "source": "rpm", "version": "0.7.2" } ], "python-pycurl": [ { "arch": "x86_64", "epoch": null, "name": "python-pycurl", "release": "19.el7", "source": "rpm", "version": "7.19.0" } ], "python-pyudev": [ { "arch": "noarch", "epoch": null, "name": "python-pyudev", "release": "9.el7", "source": "rpm", "version": "0.15" } ], "python-requests": [ { "arch": "noarch", "epoch": null, "name": "python-requests", "release": "10.el7", "source": "rpm", "version": "2.6.0" } ], "python-schedutils": [ { "arch": "x86_64", "epoch": null, "name": "python-schedutils", "release": "6.el7", "source": "rpm", "version": "0.4" } ], "python-setuptools": [ { "arch": "noarch", "epoch": null, "name": "python-setuptools", "release": "7.el7", "source": "rpm", "version": "0.9.8" } ], "python-six": [ { "arch": "noarch", "epoch": null, "name": "python-six", "release": "2.el7", "source": "rpm", "version": "1.9.0" } ], "python-slip": [ { "arch": "noarch", "epoch": null, "name": "python-slip", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-slip-dbus": [ { "arch": "noarch", "epoch": null, "name": "python-slip-dbus", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-urlgrabber": [ { "arch": "noarch", "epoch": null, "name": "python-urlgrabber", "release": "10.el7", "source": "rpm", "version": "3.10" } ], "python-urllib3": [ { "arch": "noarch", "epoch": null, "name": "python-urllib3", "release": "7.el7", "source": "rpm", "version": "1.10.2" } ], "python2-dnf": [ { "arch": "noarch", "epoch": null, "name": "python2-dnf", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "python2-hawkey": [ { "arch": "x86_64", "epoch": null, "name": "python2-hawkey", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "python2-libcomps": [ { "arch": "x86_64", "epoch": null, "name": "python2-libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "python2-libdnf": [ { "arch": "x86_64", "epoch": null, "name": "python2-libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "pyxattr": [ { "arch": "x86_64", "epoch": null, "name": "pyxattr", "release": "5.el7", "source": "rpm", "version": "0.5.1" } ], "qa-tools": [ { "arch": "noarch", "epoch": null, "name": "qa-tools", "release": "4.el7_9", "source": "rpm", "version": "4.1" } ], "qemu-guest-agent": [ { "arch": "x86_64", "epoch": 10, "name": "qemu-guest-agent", "release": "3.el7", "source": "rpm", "version": "2.12.0" } ], "qrencode-libs": [ { "arch": "x86_64", "epoch": null, "name": "qrencode-libs", "release": "3.el7", "source": "rpm", "version": "3.4.1" } ], "quota": [ { "arch": "x86_64", "epoch": 1, "name": "quota", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "quota-nls": [ { "arch": "noarch", "epoch": 1, "name": "quota-nls", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "readline": [ { "arch": "x86_64", "epoch": null, "name": "readline", "release": "11.el7", "source": "rpm", "version": "6.2" } ], "restraint": [ { "arch": "x86_64", "epoch": null, "name": "restraint", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "restraint-rhts": [ { "arch": "x86_64", "epoch": null, "name": "restraint-rhts", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "rng-tools": [ { "arch": "x86_64", "epoch": null, "name": "rng-tools", "release": "5.el7", "source": "rpm", "version": "6.3.1" } ], "rootfiles": [ { "arch": "noarch", "epoch": null, "name": "rootfiles", "release": "11.el7", "source": "rpm", "version": "8.1" } ], "rpcbind": [ { "arch": "x86_64", "epoch": null, "name": "rpcbind", "release": "49.el7", "source": "rpm", "version": "0.2.0" } ], "rpm": [ { "arch": "x86_64", "epoch": null, "name": "rpm", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-build-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-build-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-python": [ { "arch": "x86_64", "epoch": null, "name": "rpm-python", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rsync": [ { "arch": "x86_64", "epoch": null, "name": "rsync", "release": "12.el7_9", "source": "rpm", "version": "3.1.2" } ], "rsyslog": [ { "arch": "x86_64", "epoch": null, "name": "rsyslog", "release": "57.el7_9.3", "source": "rpm", "version": "8.24.0" } ], "ruby": [ { "arch": "x86_64", "epoch": null, "name": "ruby", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-irb": [ { "arch": "noarch", "epoch": null, "name": "ruby-irb", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-libs": [ { "arch": "x86_64", "epoch": null, "name": "ruby-libs", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "rubygem-bigdecimal": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-bigdecimal", "release": "39.el7_9", "source": "rpm", "version": "1.2.0" } ], "rubygem-io-console": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-io-console", "release": "39.el7_9", "source": "rpm", "version": "0.4.2" } ], "rubygem-json": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-json", "release": "39.el7_9", "source": "rpm", "version": "1.7.7" } ], "rubygem-psych": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-psych", "release": "39.el7_9", "source": "rpm", "version": "2.0.0" } ], "rubygem-rdoc": [ { "arch": "noarch", "epoch": null, "name": "rubygem-rdoc", "release": "39.el7_9", "source": "rpm", "version": "4.0.0" } ], "rubygems": [ { "arch": "noarch", "epoch": null, "name": "rubygems", "release": "39.el7_9", "source": "rpm", "version": "2.0.14.1" } ], "sed": [ { "arch": "x86_64", "epoch": null, "name": "sed", "release": "7.el7", "source": "rpm", "version": "4.2.2" } ], "selinux-policy": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "selinux-policy-targeted": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy-targeted", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "setools-libs": [ { "arch": "x86_64", "epoch": null, "name": "setools-libs", "release": "4.el7", "source": "rpm", "version": "3.3.8" } ], "setup": [ { "arch": "noarch", "epoch": null, "name": "setup", "release": "11.el7", "source": "rpm", "version": "2.8.71" } ], "sg3_utils": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "sg3_utils-libs": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils-libs", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "shadow-utils": [ { "arch": "x86_64", "epoch": 2, "name": "shadow-utils", "release": "5.el7", "source": "rpm", "version": "4.6" } ], "shared-mime-info": [ { "arch": "x86_64", "epoch": null, "name": "shared-mime-info", "release": "5.el7", "source": "rpm", "version": "1.8" } ], "slang": [ { "arch": "x86_64", "epoch": null, "name": "slang", "release": "11.el7", "source": "rpm", "version": "2.2.4" } ], "snappy": [ { "arch": "x86_64", "epoch": null, "name": "snappy", "release": "3.el7", "source": "rpm", "version": "1.1.0" } ], "sqlite": [ { "arch": "x86_64", "epoch": null, "name": "sqlite", "release": "8.el7_7.1", "source": "rpm", "version": "3.7.17" } ], "strace": [ { "arch": "x86_64", "epoch": null, "name": "strace", "release": "7.el7_9", "source": "rpm", "version": "4.24" } ], "sudo": [ { "arch": "x86_64", "epoch": null, "name": "sudo", "release": "10.el7_9.3", "source": "rpm", "version": "1.8.23" } ], "systemd": [ { "arch": "x86_64", "epoch": null, "name": "systemd", "release": "78.el7_9.9", "source": "rpm", "version": "219" } ], "systemd-libs": [ { "arch": "x86_64", "epoch": null, "name": "systemd-libs", "release": "78.el7_9.9", "source": "rpm", "version": "219" } ], "systemd-sysv": [ { "arch": "x86_64", "epoch": null, "name": "systemd-sysv", "release": "78.el7_9.9", "source": "rpm", "version": "219" } ], "systemtap": [ { "arch": "x86_64", "epoch": null, "name": "systemtap", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-client": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-client", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-devel": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-devel", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-runtime": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-runtime", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "sysvinit-tools": [ { "arch": "x86_64", "epoch": null, "name": "sysvinit-tools", "release": "14.dsf.el7", "source": "rpm", "version": "2.88" } ], "tar": [ { "arch": "x86_64", "epoch": 2, "name": "tar", "release": "35.el7", "source": "rpm", "version": "1.26" } ], "tcp_wrappers": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "tcp_wrappers-libs": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers-libs", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "teamd": [ { "arch": "x86_64", "epoch": null, "name": "teamd", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "time": [ { "arch": "x86_64", "epoch": null, "name": "time", "release": "45.el7", "source": "rpm", "version": "1.7" } ], "tps-devel": [ { "arch": "noarch", "epoch": null, "name": "tps-devel", "release": "1", "source": "rpm", "version": "2.44.50" } ], "tuned": [ { "arch": "noarch", "epoch": null, "name": "tuned", "release": "12.el7_9", "source": "rpm", "version": "2.11.0" } ], "tzdata": [ { "arch": "noarch", "epoch": null, "name": "tzdata", "release": "1.el7", "source": "rpm", "version": "2024a" } ], "unzip": [ { "arch": "x86_64", "epoch": null, "name": "unzip", "release": "24.el7_9", "source": "rpm", "version": "6.0" } ], "ustr": [ { "arch": "x86_64", "epoch": null, "name": "ustr", "release": "16.el7", "source": "rpm", "version": "1.0.4" } ], "util-linux": [ { "arch": "x86_64", "epoch": null, "name": "util-linux", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "vim-common": [ { "arch": "x86_64", "epoch": 2, "name": "vim-common", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-enhanced": [ { "arch": "x86_64", "epoch": 2, "name": "vim-enhanced", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-filesystem": [ { "arch": "x86_64", "epoch": 2, "name": "vim-filesystem", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-minimal": [ { "arch": "x86_64", "epoch": 2, "name": "vim-minimal", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "virt-what": [ { "arch": "x86_64", "epoch": null, "name": "virt-what", "release": "4.el7_9.1", "source": "rpm", "version": "1.18" } ], "wget": [ { "arch": "x86_64", "epoch": null, "name": "wget", "release": "18.el7_6.1", "source": "rpm", "version": "1.14" } ], "which": [ { "arch": "x86_64", "epoch": null, "name": "which", "release": "7.el7", "source": "rpm", "version": "2.20" } ], "wpa_supplicant": [ { "arch": "x86_64", "epoch": 1, "name": "wpa_supplicant", "release": "12.el7_9.2", "source": "rpm", "version": "2.6" } ], "xfsprogs": [ { "arch": "x86_64", "epoch": null, "name": "xfsprogs", "release": "22.el7", "source": "rpm", "version": "4.5.0" } ], "xz": [ { "arch": "x86_64", "epoch": null, "name": "xz", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "xz-libs": [ { "arch": "x86_64", "epoch": null, "name": "xz-libs", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "yum": [ { "arch": "noarch", "epoch": null, "name": "yum", "release": "168.el7.centos", "source": "rpm", "version": "3.4.3" } ], "yum-metadata-parser": [ { "arch": "x86_64", "epoch": null, "name": "yum-metadata-parser", "release": "10.el7", "source": "rpm", "version": "1.1.4" } ], "yum-plugin-fastestmirror": [ { "arch": "noarch", "epoch": null, "name": "yum-plugin-fastestmirror", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "yum-utils": [ { "arch": "noarch", "epoch": null, "name": "yum-utils", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "zip": [ { "arch": "x86_64", "epoch": null, "name": "zip", "release": "11.el7", "source": "rpm", "version": "3.0" } ], "zlib": [ { "arch": "x86_64", "epoch": null, "name": "zlib", "release": "21.el7_9", "source": "rpm", "version": "1.2.7" } ] } }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog version] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:48 Saturday 24 February 2024 08:47:46 +0000 (0:00:00.965) 0:01:24.504 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_version": "8.24.0" }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 1] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:55 Saturday 24 February 2024 08:47:46 +0000 (0:00:00.024) 0:01:24.529 ***** ok: [sut] => {} MSG: Rsyslog_version is 8.24.0, which is older than "8.37.0-7.2". TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 2] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:60 Saturday 24 February 2024 08:47:46 +0000 (0:00:00.023) 0:01:24.553 ***** skipping: [sut] => { "false_condition": "__rsyslog_version is version('8.37.0-7.2', '>')" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set __rsyslog_failed_validation] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:68 Saturday 24 February 2024 08:47:46 +0000 (0:00:00.021) 0:01:24.574 ***** skipping: [sut] => { "changed": false, "false_condition": "rsyslog_in_image | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create the config directory if it does not exist] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:76 Saturday 24 February 2024 08:47:46 +0000 (0:00:00.025) 0:01:24.600 ***** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/etc/rsyslog.d", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create a work directory] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:83 Saturday 24 February 2024 08:47:46 +0000 (0:00:00.151) 0:01:24.751 ***** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0700", "owner": "root", "path": "/var/lib/rsyslog", "secontext": "system_u:object_r:syslogd_var_lib_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create logging directory if it does not exist or the ownership and/or modes are different.] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:89 Saturday 24 February 2024 08:47:46 +0000 (0:00:00.155) 0:01:24.907 ***** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/var/log", "secontext": "system_u:object_r:var_log_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate global rule to add to __rsyslog_common_rules] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:97 Saturday 24 February 2024 08:47:46 +0000 (0:00:00.157) 0:01:25.065 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_common_rules": [ { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate common rsyslog configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:120 Saturday 24 February 2024 08:47:46 +0000 (0:00:00.075) 0:01:25.141 ***** ok: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } ok: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Initialize list of template results] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:144 Saturday 24 February 2024 08:47:47 +0000 (0:00:00.725) 0:01:25.866 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": { "after": { "path": "/etc/rsyslog.d/00-global.conf" }, "before": { "path": "/etc/rsyslog.d/00-global.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/00-global.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": { "after": { "path": "/etc/rsyslog.d/05-common-defaults.conf" }, "before": { "path": "/etc/rsyslog.d/05-common-defaults.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove common config files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:148 Saturday 24 February 2024 08:47:47 +0000 (0:00:00.035) 0:01:25.902 ***** skipping: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include input sub-vars] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:166 Saturday 24 February 2024 08:47:47 +0000 (0:00:00.040) 0:01:25.943 ***** ok: [sut] => (item={'name': 'basic_input', 'type': 'basics', 'ratelimit_burst': 33333}) => { "ansible_facts": { "__rsyslog_basics_packages": [] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml" ], "ansible_loop_var": "input_item", "changed": false, "input_item": { "name": "basic_input", "ratelimit_burst": 33333, "type": "basics" } } ok: [sut] => (item={'name': 'files_input', 'type': 'files', 'input_log_path': '/var/log/inputdirectory/*.log', 'state': 'absent'}) => { "ansible_facts": { "__rsyslog_conf_imfile_modules": [ { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" } ], "__rsyslog_files_input_packages": [], "__rsyslog_files_input_rules": [ "{{ __rsyslog_conf_imfile_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml" ], "ansible_loop_var": "input_item", "changed": false, "input_item": { "input_log_path": "/var/log/inputdirectory/*.log", "name": "files_input", "state": "absent", "type": "files" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run input sub-tasks] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:177 Saturday 24 February 2024 08:47:47 +0000 (0:00:00.047) 0:01:25.990 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/basics/main.yml for sut => (item={'name': 'basic_input', 'type': 'basics', 'ratelimit_burst': 33333}) included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/files/main.yml for sut => (item={'name': 'files_input', 'type': 'files', 'input_log_path': '/var/log/inputdirectory/*.log', 'state': 'absent'}) TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update basics input packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/basics/main.yml:3 Saturday 24 February 2024 08:47:47 +0000 (0:00:00.058) 0:01:26.049 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:47 +0000 (0:00:00.111) 0:01:26.161 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:47 +0000 (0:00:00.031) 0:01:26.192 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:47 +0000 (0:00:00.026) 0:01:26.218 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": { "after": { "path": "/etc/rsyslog.d/00-global.conf" }, "before": { "path": "/etc/rsyslog.d/00-global.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/00-global.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": { "after": { "path": "/etc/rsyslog.d/05-common-defaults.conf" }, "before": { "path": "/etc/rsyslog.d/05-common-defaults.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:47 +0000 (0:00:00.035) 0:01:26.254 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create basics input configuration file /etc/rsyslog.d/input-basics-basic_input] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/basics/main.yml:10 Saturday 24 February 2024 08:47:47 +0000 (0:00:00.024) 0:01:26.278 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:47 +0000 (0:00:00.044) 0:01:26.323 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:48 +0000 (0:00:00.031) 0:01:26.354 ***** changed: [sut] => (item={'name': 'input-basics-basic_input', 'type': 'input', 'state': 'present', 'sections': [{'options': '$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test0\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\n" } ], "state": "present", "type": "input" }, "md5sum": "870cf94b02e8661e4fed67677718d4b6", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 562, "src": "/root/.ansible/tmp/ansible-tmp-1708764468.1484597-4727-144502198930712/source", "state": "file", "uid": 0 } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:48 +0000 (0:00:00.478) 0:01:26.833 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": { "after": { "path": "/etc/rsyslog.d/00-global.conf" }, "before": { "path": "/etc/rsyslog.d/00-global.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/00-global.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": { "after": { "path": "/etc/rsyslog.d/05-common-defaults.conf" }, "before": { "path": "/etc/rsyslog.d/05-common-defaults.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764468.1484597-4727-144502198930712/source", "unsafe_writes": false, "validate": null } }, "md5sum": "870cf94b02e8661e4fed67677718d4b6", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 562, "src": "/root/.ansible/tmp/ansible-tmp-1708764468.1484597-4727-144502198930712/source", "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:48 +0000 (0:00:00.036) 0:01:26.869 ***** skipping: [sut] => (item={'name': 'input-basics-basic_input', 'type': 'input', 'state': 'present', 'sections': [{'options': '$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == "imjournal" or $inputname == "imuxsock")\n then {\n call files_test0\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\n" } ], "state": "present", "type": "input" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update files input packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/files/main.yml:3 Saturday 24 February 2024 08:47:48 +0000 (0:00:00.091) 0:01:26.960 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:48 +0000 (0:00:00.039) 0:01:27.000 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:48 +0000 (0:00:00.027) 0:01:27.028 ***** ok: [sut] => (item={'name': 'input-files-modules', 'type': 'modules', 'sections': [{'comment': 'Reads log messages from file', 'options': 'module(load="imfile" mode="inotify")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "state": "file", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:49 +0000 (0:00:00.348) 0:01:27.377 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": { "after": { "path": "/etc/rsyslog.d/00-global.conf" }, "before": { "path": "/etc/rsyslog.d/00-global.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/00-global.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": { "after": { "path": "/etc/rsyslog.d/05-common-defaults.conf" }, "before": { "path": "/etc/rsyslog.d/05-common-defaults.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764468.1484597-4727-144502198930712/source", "unsafe_writes": false, "validate": null } }, "md5sum": "870cf94b02e8661e4fed67677718d4b6", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 562, "src": "/root/.ansible/tmp/ansible-tmp-1708764468.1484597-4727-144502198930712/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:49 +0000 (0:00:00.044) 0:01:27.421 ***** skipping: [sut] => (item={'name': 'input-files-modules', 'type': 'modules', 'sections': [{'comment': 'Reads log messages from file', 'options': 'module(load="imfile" mode="inotify")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create files input configuration file /etc/rsyslog.d/input-files-files_input] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/inputs/files/main.yml:12 Saturday 24 February 2024 08:47:49 +0000 (0:00:00.032) 0:01:27.453 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:49 +0000 (0:00:00.047) 0:01:27.501 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:49 +0000 (0:00:00.039) 0:01:27.541 ***** skipping: [sut] => (item={'name': 'input-files-files_input', 'type': 'input', 'state': 'absent', 'sections': [{'options': 'input(\n type="imfile"\n file="/var/log/inputdirectory/*.log"\n tag="files_input"\n)\n\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\n\n" } ], "state": "absent", "type": "input" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:49 +0000 (0:00:00.172) 0:01:27.713 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": { "after": { "path": "/etc/rsyslog.d/00-global.conf" }, "before": { "path": "/etc/rsyslog.d/00-global.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/00-global.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": { "after": { "path": "/etc/rsyslog.d/05-common-defaults.conf" }, "before": { "path": "/etc/rsyslog.d/05-common-defaults.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764468.1484597-4727-144502198930712/source", "unsafe_writes": false, "validate": null } }, "md5sum": "870cf94b02e8661e4fed67677718d4b6", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 562, "src": "/root/.ansible/tmp/ansible-tmp-1708764468.1484597-4727-144502198930712/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\n\n" } ], "state": "absent", "type": "input" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:49 +0000 (0:00:00.094) 0:01:27.807 ***** changed: [sut] => (item={'name': 'input-files-files_input', 'type': 'input', 'state': 'absent', 'sections': [{'options': 'input(\n type="imfile"\n file="/var/log/inputdirectory/*.log"\n tag="files_input"\n)\n\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\n\n" } ], "state": "absent", "type": "input" }, "path": "/etc/rsyslog.d/90-input-files-files_input.conf", "state": "absent" } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include output sub-vars] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:192 Saturday 24 February 2024 08:47:49 +0000 (0:00:00.219) 0:01:28.027 ***** ok: [sut] => (item={'name': 'files_test0', 'type': 'files', 'severity': 'info', 'exclude': ['authpriv.none', 'auth.none', 'cron.none', 'mail.none'], 'path': '/var/log/messages'}) => { "ansible_facts": { "__rsyslog_conf_files_output_modules": [ { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_files_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_TraditionalFileFormat\")\n{% elif logging_files_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfile\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_files_output_packages": [], "__rsyslog_files_output_rules": [ "{{ __rsyslog_conf_files_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "exclude": [ "authpriv.none", "auth.none", "cron.none", "mail.none" ], "name": "files_test0", "path": "/var/log/messages", "severity": "info", "type": "files" } } ok: [sut] => (item={'name': 'files_test1', 'type': 'files', 'facility': 'authpriv,auth', 'path': '/var/log/secure', 'state': 'absent'}) => { "ansible_facts": { "__rsyslog_conf_files_output_modules": [ { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_files_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_TraditionalFileFormat\")\n{% elif logging_files_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfile\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfile\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_files_output_packages": [], "__rsyslog_files_output_rules": [ "{{ __rsyslog_conf_files_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "facility": "authpriv,auth", "name": "files_test1", "path": "/var/log/secure", "state": "absent", "type": "files" } } ok: [sut] => (item={'name': 'forwards_severity_and_facility', 'type': 'forwards', 'facility': 'local1', 'severity': 'info', 'target': 'host.domain', 'tcp_port': 1514, 'state': 'absent'}) => { "ansible_facts": { "__rsyslog_conf_forwards_output_modules": [ { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_forwards_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_TraditionalForwardFormat\")\n{% elif logging_forwards_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfwd\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_forwards_output_packages": [], "__rsyslog_forwards_output_rules": [ "{{ __rsyslog_conf_forwards_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "facility": "local1", "name": "forwards_severity_and_facility", "severity": "info", "state": "absent", "target": "host.domain", "tcp_port": 1514, "type": "forwards" } } ok: [sut] => (item={'name': 'forwards_facility_only', 'type': 'forwards', 'facility': 'local2', 'target': 'host.domain', 'tcp_port': 2514, 'state': 'absent'}) => { "ansible_facts": { "__rsyslog_conf_forwards_output_modules": [ { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "{% if logging_forwards_template_format == \"traditional\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_TraditionalForwardFormat\")\n{% elif logging_forwards_template_format == \"syslog\" %}\nmodule(load=\"builtin:omfwd\" Template=\"RSYSLOG_SyslogProtocol23Format\")\n{% else %}\nmodule(load=\"builtin:omfwd\")\n{% endif %}" } ], "type": "modules" } ], "__rsyslog_forwards_output_packages": [], "__rsyslog_forwards_output_rules": [ "{{ __rsyslog_conf_forwards_output_modules }}" ] }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml" ], "ansible_loop_var": "output_item", "changed": false, "output_item": { "facility": "local2", "name": "forwards_facility_only", "state": "absent", "target": "host.domain", "tcp_port": 2514, "type": "forwards" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run output sub-tasks] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:204 Saturday 24 February 2024 08:47:49 +0000 (0:00:00.050) 0:01:28.077 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml for sut => (item={'name': 'files_test0', 'type': 'files', 'severity': 'info', 'exclude': ['authpriv.none', 'auth.none', 'cron.none', 'mail.none'], 'path': '/var/log/messages'}) included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml for sut => (item={'name': 'files_test1', 'type': 'files', 'facility': 'authpriv,auth', 'path': '/var/log/secure', 'state': 'absent'}) included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml for sut => (item={'name': 'forwards_severity_and_facility', 'type': 'forwards', 'facility': 'local1', 'severity': 'info', 'target': 'host.domain', 'tcp_port': 1514, 'state': 'absent'}) included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml for sut => (item={'name': 'forwards_facility_only', 'type': 'forwards', 'facility': 'local2', 'target': 'host.domain', 'tcp_port': 2514, 'state': 'absent'}) TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update files output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:3 Saturday 24 February 2024 08:47:49 +0000 (0:00:00.061) 0:01:28.139 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:49 +0000 (0:00:00.060) 0:01:28.199 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:49 +0000 (0:00:00.031) 0:01:28.231 ***** ok: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:50 +0000 (0:00:00.369) 0:01:28.600 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": { "after": { "path": "/etc/rsyslog.d/00-global.conf" }, "before": { "path": "/etc/rsyslog.d/00-global.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/00-global.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": { "after": { "path": "/etc/rsyslog.d/05-common-defaults.conf" }, "before": { "path": "/etc/rsyslog.d/05-common-defaults.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764468.1484597-4727-144502198930712/source", "unsafe_writes": false, "validate": null } }, "md5sum": "870cf94b02e8661e4fed67677718d4b6", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 562, "src": "/root/.ansible/tmp/ansible-tmp-1708764468.1484597-4727-144502198930712/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\n\n" } ], "state": "absent", "type": "input" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:50 +0000 (0:00:00.050) 0:01:28.651 ***** skipping: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create files output configuration file /etc/rsyslog.d/output-files-files_test0] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:11 Saturday 24 February 2024 08:47:50 +0000 (0:00:00.033) 0:01:28.684 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:50 +0000 (0:00:00.063) 0:01:28.747 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:50 +0000 (0:00:00.028) 0:01:28.776 ***** ok: [sut] => (item={'name': 'output-files-files_test0', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test0") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "state": "file", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:50 +0000 (0:00:00.429) 0:01:29.206 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": { "after": { "path": "/etc/rsyslog.d/00-global.conf" }, "before": { "path": "/etc/rsyslog.d/00-global.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/00-global.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": { "after": { "path": "/etc/rsyslog.d/05-common-defaults.conf" }, "before": { "path": "/etc/rsyslog.d/05-common-defaults.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764468.1484597-4727-144502198930712/source", "unsafe_writes": false, "validate": null } }, "md5sum": "870cf94b02e8661e4fed67677718d4b6", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 562, "src": "/root/.ansible/tmp/ansible-tmp-1708764468.1484597-4727-144502198930712/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\n\n" } ], "state": "absent", "type": "input" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": { "after": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" }, "before": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:50 +0000 (0:00:00.036) 0:01:29.242 ***** skipping: [sut] => (item={'name': 'output-files-files_test0', 'type': 'output', 'state': 'present', 'sections': [{'options': 'ruleset(name="files_test0") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update files output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:3 Saturday 24 February 2024 08:47:50 +0000 (0:00:00.075) 0:01:29.318 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:51 +0000 (0:00:00.049) 0:01:29.367 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:51 +0000 (0:00:00.036) 0:01:29.403 ***** ok: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:51 +0000 (0:00:00.393) 0:01:29.797 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": { "after": { "path": "/etc/rsyslog.d/00-global.conf" }, "before": { "path": "/etc/rsyslog.d/00-global.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/00-global.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": { "after": { "path": "/etc/rsyslog.d/05-common-defaults.conf" }, "before": { "path": "/etc/rsyslog.d/05-common-defaults.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764468.1484597-4727-144502198930712/source", "unsafe_writes": false, "validate": null } }, "md5sum": "870cf94b02e8661e4fed67677718d4b6", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 562, "src": "/root/.ansible/tmp/ansible-tmp-1708764468.1484597-4727-144502198930712/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\n\n" } ], "state": "absent", "type": "input" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": { "after": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" }, "before": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:51 +0000 (0:00:00.040) 0:01:29.838 ***** skipping: [sut] => (item={'name': 'output-files-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfile")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create files output configuration file /etc/rsyslog.d/output-files-files_test1] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/files/main.yml:11 Saturday 24 February 2024 08:47:51 +0000 (0:00:00.112) 0:01:29.951 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:51 +0000 (0:00:00.045) 0:01:29.997 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:51 +0000 (0:00:00.042) 0:01:30.039 ***** skipping: [sut] => (item={'name': 'output-files-files_test1', 'type': 'output', 'state': 'absent', 'sections': [{'options': 'ruleset(name="files_test1") {\n authpriv,auth.* /var/log/secure\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "absent", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:51 +0000 (0:00:00.100) 0:01:30.140 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": { "after": { "path": "/etc/rsyslog.d/00-global.conf" }, "before": { "path": "/etc/rsyslog.d/00-global.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/00-global.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": { "after": { "path": "/etc/rsyslog.d/05-common-defaults.conf" }, "before": { "path": "/etc/rsyslog.d/05-common-defaults.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764468.1484597-4727-144502198930712/source", "unsafe_writes": false, "validate": null } }, "md5sum": "870cf94b02e8661e4fed67677718d4b6", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 562, "src": "/root/.ansible/tmp/ansible-tmp-1708764468.1484597-4727-144502198930712/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\n\n" } ], "state": "absent", "type": "input" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": { "after": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" }, "before": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "absent", "type": "output" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:51 +0000 (0:00:00.060) 0:01:30.200 ***** changed: [sut] => (item={'name': 'output-files-files_test1', 'type': 'output', 'state': 'absent', 'sections': [{'options': 'ruleset(name="files_test1") {\n authpriv,auth.* /var/log/secure\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "absent", "type": "output" }, "path": "/etc/rsyslog.d/30-output-files-files_test1.conf", "state": "absent" } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update forwards output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:3 Saturday 24 February 2024 08:47:52 +0000 (0:00:00.224) 0:01:30.425 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:52 +0000 (0:00:00.040) 0:01:30.465 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:52 +0000 (0:00:00.032) 0:01:30.498 ***** ok: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:52 +0000 (0:00:00.344) 0:01:30.842 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": { "after": { "path": "/etc/rsyslog.d/00-global.conf" }, "before": { "path": "/etc/rsyslog.d/00-global.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/00-global.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": { "after": { "path": "/etc/rsyslog.d/05-common-defaults.conf" }, "before": { "path": "/etc/rsyslog.d/05-common-defaults.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764468.1484597-4727-144502198930712/source", "unsafe_writes": false, "validate": null } }, "md5sum": "870cf94b02e8661e4fed67677718d4b6", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 562, "src": "/root/.ansible/tmp/ansible-tmp-1708764468.1484597-4727-144502198930712/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\n\n" } ], "state": "absent", "type": "input" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": { "after": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" }, "before": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "absent", "type": "output" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:52 +0000 (0:00:00.039) 0:01:30.882 ***** skipping: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create forwards output configuration file /etc/rsyslog.d/output-forwards-forwards_severity_and_facility] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:10 Saturday 24 February 2024 08:47:52 +0000 (0:00:00.033) 0:01:30.915 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:52 +0000 (0:00:00.041) 0:01:30.956 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:52 +0000 (0:00:00.027) 0:01:30.984 ***** skipping: [sut] => (item={'name': 'output-forwards-forwards_severity_and_facility', 'type': 'output', 'state': 'absent', 'sections': [{'options': 'ruleset(name="forwards_severity_and_facility") {\n local1.info action(name="forwards_severity_and_facility"\n type="omfwd"\n Target="host.domain"\n Port="1514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "absent", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:52 +0000 (0:00:00.083) 0:01:31.067 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": { "after": { "path": "/etc/rsyslog.d/00-global.conf" }, "before": { "path": "/etc/rsyslog.d/00-global.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/00-global.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": { "after": { "path": "/etc/rsyslog.d/05-common-defaults.conf" }, "before": { "path": "/etc/rsyslog.d/05-common-defaults.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764468.1484597-4727-144502198930712/source", "unsafe_writes": false, "validate": null } }, "md5sum": "870cf94b02e8661e4fed67677718d4b6", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 562, "src": "/root/.ansible/tmp/ansible-tmp-1708764468.1484597-4727-144502198930712/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\n\n" } ], "state": "absent", "type": "input" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": { "after": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" }, "before": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "absent", "type": "output" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "absent", "type": "output" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:52 +0000 (0:00:00.038) 0:01:31.106 ***** changed: [sut] => (item={'name': 'output-forwards-forwards_severity_and_facility', 'type': 'output', 'state': 'absent', 'sections': [{'options': 'ruleset(name="forwards_severity_and_facility") {\n local1.info action(name="forwards_severity_and_facility"\n type="omfwd"\n Target="host.domain"\n Port="1514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "absent", "type": "output" }, "path": "/etc/rsyslog.d/30-output-forwards-forwards_severity_and_facility.conf", "state": "absent" } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update forwards output packages and generate configuration files in /etc/rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:3 Saturday 24 February 2024 08:47:52 +0000 (0:00:00.197) 0:01:31.304 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:53 +0000 (0:00:00.037) 0:01:31.342 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:53 +0000 (0:00:00.027) 0:01:31.369 ***** ok: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:53 +0000 (0:00:00.396) 0:01:31.766 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": { "after": { "path": "/etc/rsyslog.d/00-global.conf" }, "before": { "path": "/etc/rsyslog.d/00-global.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/00-global.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": { "after": { "path": "/etc/rsyslog.d/05-common-defaults.conf" }, "before": { "path": "/etc/rsyslog.d/05-common-defaults.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764468.1484597-4727-144502198930712/source", "unsafe_writes": false, "validate": null } }, "md5sum": "870cf94b02e8661e4fed67677718d4b6", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 562, "src": "/root/.ansible/tmp/ansible-tmp-1708764468.1484597-4727-144502198930712/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\n\n" } ], "state": "absent", "type": "input" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": { "after": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" }, "before": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "absent", "type": "output" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "absent", "type": "output" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } ], "skipped": false } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:53 +0000 (0:00:00.041) 0:01:31.807 ***** skipping: [sut] => (item={'name': 'output-forwards-modules', 'type': 'modules', 'sections': [{'comment': 'Log messages into files with traditional, syslog, or default format', 'options': 'module(load="builtin:omfwd")\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "(not __rsyslog_enabled | bool) or (inner_item.state | d('present') == 'absent')", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create forwards output configuration file /etc/rsyslog.d/output-forwards-forwards_facility_only] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/outputs/forwards/main.yml:10 Saturday 24 February 2024 08:47:53 +0000 (0:00:00.032) 0:01:31.839 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:4 Saturday 24 February 2024 08:47:53 +0000 (0:00:00.040) 0:01:31.880 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_packages | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate role configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:14 Saturday 24 February 2024 08:47:53 +0000 (0:00:00.026) 0:01:31.906 ***** skipping: [sut] => (item={'name': 'output-forwards-forwards_facility_only', 'type': 'output', 'state': 'absent', 'sections': [{'options': 'ruleset(name="forwards_facility_only") {\n local2.* action(name="forwards_facility_only"\n type="omfwd"\n Target="host.domain"\n Port="2514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "output-forwards-forwards_facility_only", "sections": [ { "options": "ruleset(name=\"forwards_facility_only\") {\n local2.* action(name=\"forwards_facility_only\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"2514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "absent", "type": "output" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Add deployed templates to global list] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:32 Saturday 24 February 2024 08:47:53 +0000 (0:00:00.077) 0:01:31.984 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "01785a86b4dbac8d6fa956de9fe2565e9b46e79b", "dest": "/etc/rsyslog.d/00-global.conf", "diff": { "after": { "path": "/etc/rsyslog.d/00-global.conf" }, "before": { "path": "/etc/rsyslog.d/00-global.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/00-global.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/00-global.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 137, "state": "file", "uid": 0 }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cd87491589393ab4a5f556a614493c4c27a80733", "dest": "/etc/rsyslog.d/05-common-defaults.conf", "diff": { "after": { "path": "/etc/rsyslog.d/05-common-defaults.conf" }, "before": { "path": "/etc/rsyslog.d/05-common-defaults.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/05-common-defaults.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/05-common-defaults.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 96, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "results": [], "skipped": true, "skipped_reason": "No items in the list" }, { "changed": true, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": true, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "diff": [], "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-basics-basic_input", "sections": [ { "options": "$ModLoad imuxsock # provides support for local system logging (e.g. via logger command)\n$ModLoad imjournal # provides access to the systemd journal\n# File to store the position in the journal\n$IMJournalStateFile imjournal.state\n$imjournalRatelimitInterval 600\n$imjournalPersistStateInterval 10\n\n# Turn off message reception via local log socket;\n# local messages are retrieved through imjournal now.\n$OmitLocalLogging on\n\nif\n ($inputname == \"imjournal\" or $inputname == \"imuxsock\")\n then {\n call files_test0\n}\n" } ], "state": "present", "type": "input" }, "invocation": { "module_args": { "_original_basename": "rules.conf.j2", "attributes": null, "backup": false, "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "content": null, "dest": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "directory_mode": null, "follow": false, "force": true, "group": "root", "local_follow": null, "mode": "0644", "owner": "root", "remote_src": null, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": "/root/.ansible/tmp/ansible-tmp-1708764468.1484597-4727-144502198930712/source", "unsafe_writes": false, "validate": null } }, "md5sum": "870cf94b02e8661e4fed67677718d4b6", "mode": "0644", "owner": "root", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 562, "src": "/root/.ansible/tmp/ansible-tmp-1708764468.1484597-4727-144502198930712/source", "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "cbccfe242ff9bd2fe4f2b02f271bb84fa13a0fda", "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-input-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "input-files-modules", "sections": [ { "comment": "Reads log messages from file", "options": "module(load=\"imfile\" mode=\"inotify\")" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-input-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 118, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "input-files-files_input", "sections": [ { "options": "input(\n type=\"imfile\"\n file=\"/var/log/inputdirectory/*.log\"\n tag=\"files_input\"\n)\n\n" } ], "state": "absent", "type": "input" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "86c156eed4cbcba0769fe38e4daff94ffe0255c8", "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "diff": { "after": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" }, "before": { "path": "/etc/rsyslog.d/30-output-files-files_test0.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-files_test0", "sections": [ { "options": "ruleset(name=\"files_test0\") {\n *.info;authpriv.none;auth.none;cron.none;mail.none /var/log/messages\n}\n" } ], "state": "present", "type": "output" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/30-output-files-files_test0.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 152, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "bcf2fc3de5f51237535db7e7c8faf735f0c5adf8", "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-files-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-files-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfile\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-files-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 151, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "output-files-files_test1", "sections": [ { "options": "ruleset(name=\"files_test1\") {\n authpriv,auth.* /var/log/secure\n}\n" } ], "state": "absent", "type": "output" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "output-forwards-forwards_severity_and_facility", "sections": [ { "options": "ruleset(name=\"forwards_severity_and_facility\") {\n local1.info action(name=\"forwards_severity_and_facility\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"1514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "absent", "type": "output" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true }, { "changed": false, "msg": "All items completed", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "checksum": "74511d77bdc002c1b1b6505d9e167209f27bf933", "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "diff": { "after": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" }, "before": { "path": "/etc/rsyslog.d/10-output-forwards-modules.conf" } }, "failed": false, "gid": 0, "group": "root", "inner_item": { "name": "output-forwards-modules", "sections": [ { "comment": "Log messages into files with traditional, syslog, or default format", "options": "module(load=\"builtin:omfwd\")\n" } ], "type": "modules" }, "invocation": { "module_args": { "_diff_peek": null, "_original_basename": "rules.conf.j2", "access_time": null, "access_time_format": "%Y%m%d%H%M.%S", "attributes": null, "dest": "/etc/rsyslog.d/10-output-forwards-modules.conf", "follow": true, "force": false, "group": "root", "mode": "0644", "modification_time": null, "modification_time_format": "%Y%m%d%H%M.%S", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "recurse": false, "selevel": null, "serole": null, "setype": null, "seuser": null, "src": null, "state": "file", "unsafe_writes": false } }, "mode": "0644", "owner": "root", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 150, "state": "file", "uid": 0 } ], "skipped": false }, { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "inner_item.state is undefined or inner_item.state != 'absent'", "inner_item": { "name": "output-forwards-forwards_facility_only", "sections": [ { "options": "ruleset(name=\"forwards_facility_only\") {\n local2.* action(name=\"forwards_facility_only\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"2514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "absent", "type": "output" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove role config files from rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/deploy.yml:36 Saturday 24 February 2024 08:47:53 +0000 (0:00:00.039) 0:01:32.024 ***** changed: [sut] => (item={'name': 'output-forwards-forwards_facility_only', 'type': 'output', 'state': 'absent', 'sections': [{'options': 'ruleset(name="forwards_facility_only") {\n local2.* action(name="forwards_facility_only"\n type="omfwd"\n Target="host.domain"\n Port="2514"\n Protocol="tcp"\n Template="RSYSLOG_ForwardFormat"\n )\n}\n'}]}) => { "ansible_loop_var": "inner_item", "changed": true, "inner_item": { "name": "output-forwards-forwards_facility_only", "sections": [ { "options": "ruleset(name=\"forwards_facility_only\") {\n local2.* action(name=\"forwards_facility_only\"\n type=\"omfwd\"\n Target=\"host.domain\"\n Port=\"2514\"\n Protocol=\"tcp\"\n Template=\"RSYSLOG_ForwardFormat\"\n )\n}\n" } ], "state": "absent", "type": "output" }, "path": "/etc/rsyslog.d/30-output-forwards-forwards_facility_only.conf", "state": "absent" } Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog config files not owned by any package] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:217 Saturday 24 February 2024 08:47:53 +0000 (0:00:00.196) 0:01:32.220 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Purge - remove files not generated by current state] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:234 Saturday 24 February 2024 08:47:53 +0000 (0:00:00.027) 0:01:32.247 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_purge_confs | bool | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy custom config files if they are specified in rsyslog_custom_config_files variable array.] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:254 Saturday 24 February 2024 08:47:53 +0000 (0:00:00.034) 0:01:32.282 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check tls is enabled in forwards output or remote input and logging_pki_files] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:275 Saturday 24 February 2024 08:47:53 +0000 (0:00:00.023) 0:01:32.306 ***** skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local ca_cert file to the target if needed] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:283 Saturday 24 February 2024 08:47:54 +0000 (0:00:00.041) 0:01:32.347 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local cert file to the target if needed] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:295 Saturday 24 February 2024 08:47:54 +0000 (0:00:00.034) 0:01:32.382 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local key file to the target if needed] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:307 Saturday 24 February 2024 08:47:54 +0000 (0:00:00.034) 0:01:32.417 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Enable rsyslog service] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:319 Saturday 24 February 2024 08:47:54 +0000 (0:00:00.033) 0:01:32.451 ***** ok: [sut] => { "changed": false, "enabled": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestamp": "Sat 2024-02-24 08:47:41 UTC", "ActiveEnterTimestampMonotonic": "1277555832", "ActiveExitTimestamp": "Sat 2024-02-24 08:47:41 UTC", "ActiveExitTimestampMonotonic": "1277546552", "ActiveState": "active", "After": "system.slice basic.target network.target network-online.target", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "yes", "AssertTimestamp": "Sat 2024-02-24 08:47:41 UTC", "AssertTimestampMonotonic": "1277548963", "Before": "shutdown.target multi-user.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "yes", "ConditionTimestamp": "Sat 2024-02-24 08:47:41 UTC", "ConditionTimestampMonotonic": "1277548961", "Conflicts": "shutdown.target", "ControlGroup": "/system.slice/rsyslog.service", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "15083", "ExecMainStartTimestamp": "Sat 2024-02-24 08:47:41 UTC", "ExecMainStartTimestampMonotonic": "1277549787", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[Sat 2024-02-24 08:47:41 UTC] ; stop_time=[n/a] ; pid=15083 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestamp": "Sat 2024-02-24 08:47:41 UTC", "InactiveEnterTimestampMonotonic": "1277548521", "InactiveExitTimestamp": "Sat 2024-02-24 08:47:41 UTC", "InactiveExitTimestampMonotonic": "1277549856", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "15083", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "basic.target system.slice", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "running", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network.target network-online.target", "WatchdogTimestamp": "Sat 2024-02-24 08:47:41 UTC", "WatchdogTimestampMonotonic": "1277555830", "WatchdogUSec": "0" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Disable rsyslog service] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:328 Saturday 24 February 2024 08:47:54 +0000 (0:00:00.228) 0:01:32.679 ***** skipping: [sut] => { "changed": false, "false_condition": "not __rsyslog_enabled | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:337 Saturday 24 February 2024 08:47:54 +0000 (0:00:00.028) 0:01:32.707 ***** skipping: [sut] => { "false_condition": "__rsyslog_failed_validation | d(false)" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : See if there are any config files] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:349 Saturday 24 February 2024 08:47:54 +0000 (0:00:00.025) 0:01:32.733 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_is_ostree | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get mode of rsyslog.conf if it exists] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:356 Saturday 24 February 2024 08:47:54 +0000 (0:00:00.030) 0:01:32.764 ***** ok: [sut] => { "changed": false, "stat": { "atime": 1708764461.5086813, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "5fe705203b62f654be0460d9893da023dbffb94d", "ctime": 1708764461.2296877, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263363, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1708764461.095691, "nlink": 1, "path": "/etc/rsyslog.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 131, "uid": 0, "version": "394641134", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate main rsyslog configuration] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:362 Saturday 24 February 2024 08:47:54 +0000 (0:00:00.152) 0:01:32.916 ***** ok: [sut] => { "changed": false, "checksum": "5fe705203b62f654be0460d9893da023dbffb94d", "dest": "/etc/rsyslog.conf", "gid": 0, "group": "root", "mode": "0644", "owner": "root", "path": "/etc/rsyslog.conf", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 131, "state": "file", "uid": 0 } TASK [Force all notified handlers to run at this point, not waiting for normal sync points] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:515 Saturday 24 February 2024 08:47:54 +0000 (0:00:00.411) 0:01:33.327 ***** NOTIFIED HANDLER fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd for sut META: triggered running handlers for sut RUNNING HANDLER [fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/handlers/main.yml:2 Saturday 24 February 2024 08:47:55 +0000 (0:00:00.015) 0:01:33.343 ***** changed: [sut] => { "changed": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestamp": "Sat 2024-02-24 08:47:41 UTC", "ActiveEnterTimestampMonotonic": "1277555832", "ActiveExitTimestamp": "Sat 2024-02-24 08:47:41 UTC", "ActiveExitTimestampMonotonic": "1277546552", "ActiveState": "active", "After": "system.slice basic.target network.target network-online.target", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "yes", "AssertTimestamp": "Sat 2024-02-24 08:47:41 UTC", "AssertTimestampMonotonic": "1277548963", "Before": "shutdown.target multi-user.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "yes", "ConditionTimestamp": "Sat 2024-02-24 08:47:41 UTC", "ConditionTimestampMonotonic": "1277548961", "Conflicts": "shutdown.target", "ControlGroup": "/system.slice/rsyslog.service", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "15083", "ExecMainStartTimestamp": "Sat 2024-02-24 08:47:41 UTC", "ExecMainStartTimestampMonotonic": "1277549787", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[Sat 2024-02-24 08:47:41 UTC] ; stop_time=[n/a] ; pid=15083 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestamp": "Sat 2024-02-24 08:47:41 UTC", "InactiveEnterTimestampMonotonic": "1277548521", "InactiveExitTimestamp": "Sat 2024-02-24 08:47:41 UTC", "InactiveExitTimestampMonotonic": "1277549856", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "15083", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "basic.target system.slice", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "running", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network.target network-online.target", "WatchdogTimestamp": "Sat 2024-02-24 08:47:41 UTC", "WatchdogTimestampMonotonic": "1277555830", "WatchdogUSec": "0" } } TASK [Ensure config file size and counts] ************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:518 Saturday 24 February 2024 08:47:55 +0000 (0:00:00.222) 0:01:33.566 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml for sut TASK [Get info of /etc/rsyslog.conf] ******************************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:2 Saturday 24 February 2024 08:47:55 +0000 (0:00:00.055) 0:01:33.621 ***** ok: [sut] => { "changed": false, "stat": { "atime": 1708764461.5086813, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "5fe705203b62f654be0460d9893da023dbffb94d", "ctime": 1708764461.2296877, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263363, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1708764461.095691, "nlink": 1, "path": "/etc/rsyslog.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 131, "uid": 0, "version": "394641134", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [Get file counts in /etc/rsyslog.d] *************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:7 Saturday 24 February 2024 08:47:55 +0000 (0:00:00.137) 0:01:33.759 ***** ok: [sut] => { "changed": false, "examined": 8, "files": [ { "atime": 1708764459.0367393, "ctime": 1708764457.8847663, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263360, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764457.7537694, "nlink": 1, "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 150, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764456.3888013, "ctime": 1708764455.3128266, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263357, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764455.1868296, "nlink": 1, "path": "/etc/rsyslog.d/10-output-files-modules.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 151, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764461.5096812, "ctime": 1708764451.847908, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 262515, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764451.7149112, "nlink": 1, "path": "/etc/rsyslog.d/00-global.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 137, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764461.5096812, "ctime": 1708764452.2928977, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263353, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764452.156901, "nlink": 1, "path": "/etc/rsyslog.d/05-common-defaults.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 96, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764461.5096812, "ctime": 1708764455.9478118, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263358, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764455.8208148, "nlink": 1, "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 152, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764461.5096812, "ctime": 1708764454.0328567, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263355, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764453.9058597, "nlink": 1, "path": "/etc/rsyslog.d/10-input-files-modules.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 118, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false }, { "atime": 1708764475.1983597, "ctime": 1708764468.4535182, "dev": 51713, "gid": 0, "gr_name": "root", "inode": 263354, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mode": "0644", "mtime": 1708764468.328521, "nlink": 1, "path": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "pw_name": "root", "rgrp": true, "roth": true, "rusr": true, "size": 562, "uid": 0, "wgrp": false, "woth": false, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } ], "matched": 7, "skipped_paths": {} } MSG: All paths examined TASK [Check rsyslog.conf size (less)] ****************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:13 Saturday 24 February 2024 08:47:55 +0000 (0:00:00.133) 0:01:33.893 ***** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check rsyslog.conf size (more)] ****************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:18 Saturday 24 February 2024 08:47:55 +0000 (0:00:00.020) 0:01:33.913 ***** skipping: [sut] => { "changed": false, "false_condition": "__conf_size == \"more\"", "skip_reason": "Conditional result was False" } TASK [Check file counts in rsyslog.d] ****************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:23 Saturday 24 February 2024 08:47:55 +0000 (0:00:00.016) 0:01:33.929 ***** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check conf files exist] ************************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:27 Saturday 24 February 2024 08:47:55 +0000 (0:00:00.020) 0:01:33.950 ***** ok: [sut] => (item=/etc/rsyslog.d/90-input-basics-basic_input.conf) => { "ansible_loop_var": "item", "changed": false, "failed_when_result": false, "item": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "stat": { "atime": 1708764475.1983597, "attr_flags": "e", "attributes": [ "extents" ], "block_size": 4096, "blocks": 8, "charset": "us-ascii", "checksum": "1ea8a491c1acb37673eab0104bc208031450a633", "ctime": 1708764468.4535182, "dev": 51713, "device_type": 0, "executable": false, "exists": true, "gid": 0, "gr_name": "root", "inode": 263354, "isblk": false, "ischr": false, "isdir": false, "isfifo": false, "isgid": false, "islnk": false, "isreg": true, "issock": false, "isuid": false, "mimetype": "text/plain", "mode": "0644", "mtime": 1708764468.328521, "nlink": 1, "path": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "pw_name": "root", "readable": true, "rgrp": true, "roth": true, "rusr": true, "size": 562, "uid": 0, "version": "394641200", "wgrp": false, "woth": false, "writeable": true, "wusr": true, "xgrp": false, "xoth": false, "xusr": false } } TASK [Check rsyslog errors] **************************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_daemon_config_files.yml:35 Saturday 24 February 2024 08:47:55 +0000 (0:00:00.152) 0:01:34.102 ***** skipping: [sut] => { "changed": false, "false_condition": "__check_systemctl_status == \"true\"", "skip_reason": "Conditional result was False" } TASK [Check conf was updated /etc/rsyslog.d/90-input-basics-basic_input.conf] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:527 Saturday 24 February 2024 08:47:55 +0000 (0:00:00.019) 0:01:34.122 ***** ok: [sut] => { "changed": false, "cmd": [ "/bin/grep", "# GENERATED BASICS CONFIG FILE", "/etc/rsyslog.d/90-input-basics-basic_input.conf" ], "delta": "0:00:00.003302", "end": "2024-02-24 08:47:55.897511", "failed_when_result": false, "rc": 1, "start": "2024-02-24 08:47:55.894209" } MSG: non-zero return code TASK [Check rsyslog errors] **************************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:536 Saturday 24 February 2024 08:47:55 +0000 (0:00:00.136) 0:01:34.258 ***** ok: [sut] => { "changed": false, "cmd": [ "systemctl", "status", "rsyslog" ], "delta": "0:00:00.008271", "end": "2024-02-24 08:47:56.037887", "failed_when_result": false, "rc": 0, "start": "2024-02-24 08:47:56.029616" } STDOUT: ● rsyslog.service - System Logging Service Loaded: loaded (/usr/lib/systemd/system/rsyslog.service; enabled; vendor preset: enabled) Active: active (running) since Sat 2024-02-24 08:47:55 UTC; 833ms ago Docs: man:rsyslogd(8) http://www.rsyslog.com/doc/ Main PID: 15703 (rsyslogd) CGroup: /system.slice/rsyslog.service └─15703 /usr/sbin/rsyslogd -n Feb 24 08:47:55 4ab8b239-0ac1-444c-b5fa-23f2527fc714.testing-farm systemd[1]: Starting System Logging Service... Feb 24 08:47:55 4ab8b239-0ac1-444c-b5fa-23f2527fc714.testing-farm rsyslogd[15703]: [origin software="rsyslogd" swVersion="8.24.0-57.el7_9.3" x-pid="15703" x-info="http://www.rsyslog.com"] start Feb 24 08:47:55 4ab8b239-0ac1-444c-b5fa-23f2527fc714.testing-farm rsyslogd[15703]: imfile: no files configured to be monitored - no input will be gathered [v8.24.0-57.el7_9.3 try http://www.rsyslog.com/e/2212 ] Feb 24 08:47:55 4ab8b239-0ac1-444c-b5fa-23f2527fc714.testing-farm systemd[1]: Started System Logging Service. TASK [Check ports managed by firewall and selinux] ***************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:542 Saturday 24 February 2024 08:47:56 +0000 (0:00:00.143) 0:01:34.401 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml for sut TASK [Check ports are not retrieved if both logging_manage_firewall and logging_manage_selinux are not true] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:3 Saturday 24 February 2024 08:47:56 +0000 (0:00:00.057) 0:01:34.459 ***** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check firewall port status (manage - tcp)] ******************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:13 Saturday 24 February 2024 08:47:56 +0000 (0:00:00.029) 0:01:34.488 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check firewall port status (manage - udp)] ******************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:22 Saturday 24 February 2024 08:47:56 +0000 (0:00:00.016) 0:01:34.505 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - tcp)] *************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:34 Saturday 24 February 2024 08:47:56 +0000 (0:00:00.016) 0:01:34.521 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - udp)] *************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:44 Saturday 24 February 2024 08:47:56 +0000 (0:00:00.024) 0:01:34.545 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [END TEST CASE 2; Clean up the deployed config] *************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:545 Saturday 24 February 2024 08:47:56 +0000 (0:00:00.015) 0:01:34.561 ***** TASK [fedora.linux_system_roles.logging : Set files output if files output is not defined and logging_inputs is not empty] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:7 Saturday 24 February 2024 08:47:56 +0000 (0:00:00.154) 0:01:34.716 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_inputs | d([])", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Set rsyslog_outputs] ***************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:14 Saturday 24 February 2024 08:47:56 +0000 (0:00:00.033) 0:01:34.749 ***** ok: [sut] => { "ansible_facts": { "rsyslog_outputs": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set rsyslog_inputs] ****************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:18 Saturday 24 February 2024 08:47:56 +0000 (0:00:00.035) 0:01:34.785 ***** ok: [sut] => { "ansible_facts": { "rsyslog_inputs": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Set custom_config_files fact] ******** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:22 Saturday 24 February 2024 08:47:56 +0000 (0:00:00.036) 0:01:34.821 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Check logging_inputs item in logging_flows.inputs] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:34 Saturday 24 February 2024 08:47:56 +0000 (0:00:00.019) 0:01:34.841 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Gather ports specified in the logging_inputs and outputs vars] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:44 Saturday 24 February 2024 08:47:56 +0000 (0:00:00.016) 0:01:34.858 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_tls_tcp_ports] **** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:3 Saturday 24 February 2024 08:47:56 +0000 (0:00:00.045) 0:01:34.903 ***** ok: [sut] => { "ansible_facts": { "logging_tls_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tcp_ports] ******** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:7 Saturday 24 February 2024 08:47:56 +0000 (0:00:00.031) 0:01:34.935 ***** ok: [sut] => { "ansible_facts": { "logging_tcp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_tls_udp_ports] **** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:11 Saturday 24 February 2024 08:47:56 +0000 (0:00:00.029) 0:01:34.965 ***** ok: [sut] => { "ansible_facts": { "logging_tls_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Initialize logging_udp_ports] ******** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:15 Saturday 24 February 2024 08:47:56 +0000 (0:00:00.031) 0:01:34.997 ***** ok: [sut] => { "ansible_facts": { "logging_udp_ports": [] }, "changed": false } TASK [fedora.linux_system_roles.logging : Parameter 'port' values] ************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:24 Saturday 24 February 2024 08:47:56 +0000 (0:00:00.029) 0:01:35.026 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:88 Saturday 24 February 2024 08:47:56 +0000 (0:00:00.028) 0:01:35.055 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:92 Saturday 24 February 2024 08:47:56 +0000 (0:00:00.027) 0:01:35.083 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:96 Saturday 24 February 2024 08:47:56 +0000 (0:00:00.031) 0:01:35.114 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_port' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:100 Saturday 24 February 2024 08:47:56 +0000 (0:00:00.027) 0:01:35.142 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:104 Saturday 24 February 2024 08:47:56 +0000 (0:00:00.029) 0:01:35.171 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'server_port' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:108 Saturday 24 February 2024 08:47:56 +0000 (0:00:00.027) 0:01:35.198 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:153 Saturday 24 February 2024 08:47:56 +0000 (0:00:00.081) 0:01:35.280 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'tcp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:157 Saturday 24 February 2024 08:47:56 +0000 (0:00:00.029) 0:01:35.309 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (without tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:161 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.031) 0:01:35.340 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Parameter 'udp_ports' values (with tls)] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/gather_ports.yml:165 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.029) 0:01:35.369 ***** skipping: [sut] => { "changed": false, "false_condition": "(logging_manage_firewall | bool) or (logging_manage_selinux | bool)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage firewall on the gathered ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:47 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.029) 0:01:35.399 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_firewall_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:7 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.046) 0:01:35.445 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add tcp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:11 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.029) 0:01:35.475 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add udp ports to logging_firewall_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:17 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.026) 0:01:35.502 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage firewall for specified ports] ************************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/firewall.yml:23 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.025) 0:01:35.527 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_firewall | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Manage selinux on the gathered ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:50 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.030) 0:01:35.558 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml for sut TASK [fedora.linux_system_roles.logging : Initialize logging_selinux_ports] **** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:7 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.046) 0:01:35.605 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Add non tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:11 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.029) 0:01:35.634 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls tcp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:16 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.016) 0:01:35.650 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add non tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:21 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.016) 0:01:35.666 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.logging : Add tls udp ports to logging_selinux_ports] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:26 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.017) 0:01:35.684 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Manage selinux for specified ports] ************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/selinux.yml:31 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.018) 0:01:35.702 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_manage_selinux | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Generate certificates] *************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:54 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.031) 0:01:35.734 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml for sut TASK [Generate certificates] *************************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/certificate.yml:2 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.045) 0:01:35.780 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_certificates | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Re-read facts after adding custom fact] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:60 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.028) 0:01:35.809 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug dir] ************ task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:64 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.089) 0:01:35.898 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Delete debug file] ******************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:70 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.029) 0:01:35.927 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Create rsyslog debug file] *********** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:75 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.029) 0:01:35.957 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Use a debug var to avoid an empty dict in with_dict] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:82 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.028) 0:01:35.985 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.logging : Populate rsyslog debug file] ********* task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:86 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.029) 0:01:36.015 ***** skipping: [sut] => { "changed": false, "false_condition": "logging_debug | d(false)", "skip_reason": "Conditional result was False" } TASK [Include Rsyslog role] **************************************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/logging/tasks/main.yml:97 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.028) 0:01:36.043 ***** TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:4 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.029) 0:01:36.073 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Ensure ansible_facts used by role] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:4 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.026) 0:01:36.100 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_required_facts | difference(ansible_facts.keys() | list) | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check if system is ostree] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:12 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.030) 0:01:36.130 ***** skipping: [sut] => { "changed": false, "false_condition": "not __logging_is_ostree is defined", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set flag to indicate system is ostree] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:17 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.019) 0:01:36.150 ***** skipping: [sut] => { "changed": false, "false_condition": "not __logging_is_ostree is defined", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set platform/version specific variables] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:21 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.020) 0:01:36.171 ***** ok: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/default.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/RedHat.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS.yml", "skip_reason": "Conditional result was False" } ok: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml) => { "ansible_facts": { "__rsyslog_input_basics": "input_basics_rhel7.j2" }, "ansible_included_var_files": [ "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" ], "ansible_loop_var": "item", "changed": false, "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.yml" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item is file", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/CentOS_7.9.yml", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include /vars/main.yml from /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/set_vars.yml:31 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.047) 0:01:36.218 ***** skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/basics/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/ovirt/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/inputs/remote/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/elasticsearch/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/forwards/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/relp/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => (item=/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml) => { "ansible_loop_var": "item", "changed": false, "false_condition": "__snapshot_gather_vars is defined and __snapshot_gather_vars | bool", "item": "/WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/vars/outputs/remote_files/main.yml", "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Main rsyslog subrole] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main.yml:7 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.053) 0:01:36.272 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml for sut TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get status of rsyslog packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:15 Saturday 24 February 2024 08:47:57 +0000 (0:00:00.054) 0:01:36.326 ***** ok: [sut] => (item=iproute) => { "ansible_loop_var": "item", "changed": false, "cmd": [ "rpm", "-V", "iproute" ], "delta": "0:00:00.089055", "end": "2024-02-24 08:47:58.202604", "failed_when_result": false, "item": "iproute", "rc": 0, "start": "2024-02-24 08:47:58.113549" } ok: [sut] => (item=rsyslog) => { "ansible_loop_var": "item", "changed": false, "cmd": [ "rpm", "-V", "rsyslog" ], "delta": "0:00:00.081901", "end": "2024-02-24 08:47:58.403816", "failed_when_result": false, "item": "rsyslog", "rc": 1, "start": "2024-02-24 08:47:58.321915" } STDOUT: S.5....T. c /etc/rsyslog.conf MSG: non-zero return code TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset original confs - logging package is absent] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:22 Saturday 24 February 2024 08:47:58 +0000 (0:00:00.436) 0:01:36.763 ***** changed: [sut] => { "changed": true, "changes": { "removed": [ "iproute", "rsyslog" ] }, "rc": 0, "results": [ "Loaded plugins: fastestmirror\nResolving Dependencies\n--> Running transaction check\n---> Package iproute.x86_64 0:4.11.0-30.el7 will be erased\n---> Package rsyslog.x86_64 0:8.24.0-57.el7_9.3 will be erased\n--> Finished Dependency Resolution\n\nDependencies Resolved\n\n================================================================================\n Package Arch Version Repository Size\n================================================================================\nRemoving:\n iproute x86_64 4.11.0-30.el7 @base 1.8 M\n rsyslog x86_64 8.24.0-57.el7_9.3 @updates 1.9 M\n\nTransaction Summary\n================================================================================\nRemove 2 Packages\n\nInstalled size: 3.7 M\nDownloading packages:\nRunning transaction check\nRunning transaction test\nTransaction test succeeded\nRunning transaction\n Erasing : iproute-4.11.0-30.el7.x86_64 1/2 \n Erasing : rsyslog-8.24.0-57.el7_9.3.x86_64 2/2 \nwarning: /etc/rsyslog.conf saved as /etc/rsyslog.conf.rpmsave\n Verifying : rsyslog-8.24.0-57.el7_9.3.x86_64 1/2 \n Verifying : iproute-4.11.0-30.el7.x86_64 2/2 \n\nRemoved:\n iproute.x86_64 0:4.11.0-30.el7 rsyslog.x86_64 0:8.24.0-57.el7_9.3 \n\nComplete!\n" ] } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:30 Saturday 24 February 2024 08:47:59 +0000 (0:00:01.468) 0:01:38.232 ***** changed: [sut] => { "changed": true, "changes": { "installed": [ "iproute", "rsyslog" ] }, "rc": 0, "results": [ "Loaded plugins: fastestmirror\nLoading mirror speeds from cached hostfile\n * base: download.cf.centos.org\n * extras: download.cf.centos.org\n * updates: download.cf.centos.org\nResolving Dependencies\n--> Running transaction check\n---> Package iproute.x86_64 0:4.11.0-30.el7 will be installed\n---> Package rsyslog.x86_64 0:8.24.0-57.el7_9.3 will be installed\n--> Finished Dependency Resolution\n\nDependencies Resolved\n\n================================================================================\n Package Arch Version Repository Size\n================================================================================\nInstalling:\n iproute x86_64 4.11.0-30.el7 base 805 k\n rsyslog x86_64 8.24.0-57.el7_9.3 updates 622 k\n\nTransaction Summary\n================================================================================\nInstall 2 Packages\n\nTotal download size: 1.4 M\nInstalled size: 3.7 M\nDownloading packages:\n--------------------------------------------------------------------------------\nTotal 4.7 MB/s | 1.4 MB 00:00 \nRunning transaction check\nRunning transaction test\nTransaction test succeeded\nRunning transaction\n Installing : iproute-4.11.0-30.el7.x86_64 1/2 \n Installing : rsyslog-8.24.0-57.el7_9.3.x86_64 2/2 \n Verifying : rsyslog-8.24.0-57.el7_9.3.x86_64 1/2 \n Verifying : iproute-4.11.0-30.el7.x86_64 2/2 \n\nInstalled:\n iproute.x86_64 0:4.11.0-30.el7 rsyslog.x86_64 0:8.24.0-57.el7_9.3 \n\nComplete!\n" ] } lsrpackages: iproute rsyslog TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset erased flag] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:40 Saturday 24 February 2024 08:48:02 +0000 (0:00:02.755) 0:01:40.988 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_erased": false }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 Saturday 24 February 2024 08:48:02 +0000 (0:00:00.019) 0:01:41.007 ***** ok: [sut] => { "ansible_facts": { "packages": { "NetworkManager": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-libnm": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-libnm", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-team": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-team", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "NetworkManager-tui": [ { "arch": "x86_64", "epoch": 1, "name": "NetworkManager-tui", "release": "2.el7_9", "source": "rpm", "version": "1.18.8" } ], "PyYAML": [ { "arch": "x86_64", "epoch": null, "name": "PyYAML", "release": "11.el7", "source": "rpm", "version": "3.10" } ], "acl": [ { "arch": "x86_64", "epoch": null, "name": "acl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "aic94xx-firmware": [ { "arch": "noarch", "epoch": null, "name": "aic94xx-firmware", "release": "6.el7", "source": "rpm", "version": "30" } ], "alsa-firmware": [ { "arch": "noarch", "epoch": null, "name": "alsa-firmware", "release": "2.el7", "source": "rpm", "version": "1.0.28" } ], "alsa-lib": [ { "arch": "x86_64", "epoch": null, "name": "alsa-lib", "release": "1.el7", "source": "rpm", "version": "1.1.8" } ], "alsa-tools-firmware": [ { "arch": "x86_64", "epoch": null, "name": "alsa-tools-firmware", "release": "1.el7", "source": "rpm", "version": "1.1.0" } ], "aspell": [ { "arch": "x86_64", "epoch": 12, "name": "aspell", "release": "9.el7", "source": "rpm", "version": "0.60.6.1" } ], "audit": [ { "arch": "x86_64", "epoch": null, "name": "audit", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "audit-libs-python": [ { "arch": "x86_64", "epoch": null, "name": "audit-libs-python", "release": "4.el7", "source": "rpm", "version": "2.8.5" } ], "authconfig": [ { "arch": "x86_64", "epoch": null, "name": "authconfig", "release": "30.el7", "source": "rpm", "version": "6.2.8" } ], "avahi-libs": [ { "arch": "x86_64", "epoch": null, "name": "avahi-libs", "release": "20.el7", "source": "rpm", "version": "0.6.31" } ], "basesystem": [ { "arch": "noarch", "epoch": null, "name": "basesystem", "release": "7.el7.centos", "source": "rpm", "version": "10.0" } ], "bash": [ { "arch": "x86_64", "epoch": null, "name": "bash", "release": "35.el7_9", "source": "rpm", "version": "4.2.46" } ], "bc": [ { "arch": "x86_64", "epoch": null, "name": "bc", "release": "13.el7", "source": "rpm", "version": "1.06.95" } ], "bind-export-libs": [ { "arch": "x86_64", "epoch": 32, "name": "bind-export-libs", "release": "26.P2.el7_9.15", "source": "rpm", "version": "9.11.4" } ], "binutils": [ { "arch": "x86_64", "epoch": null, "name": "binutils", "release": "44.base.el7_9.1", "source": "rpm", "version": "2.27" } ], "biosdevname": [ { "arch": "x86_64", "epoch": null, "name": "biosdevname", "release": "2.el7", "source": "rpm", "version": "0.7.3" } ], "boost-date-time": [ { "arch": "x86_64", "epoch": null, "name": "boost-date-time", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-system": [ { "arch": "x86_64", "epoch": null, "name": "boost-system", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "boost-thread": [ { "arch": "x86_64", "epoch": null, "name": "boost-thread", "release": "28.el7", "source": "rpm", "version": "1.53.0" } ], "btrfs-progs": [ { "arch": "x86_64", "epoch": null, "name": "btrfs-progs", "release": "1.el7", "source": "rpm", "version": "4.9.1" } ], "bzip2-libs": [ { "arch": "x86_64", "epoch": null, "name": "bzip2-libs", "release": "13.el7", "source": "rpm", "version": "1.0.6" } ], "ca-certificates": [ { "arch": "noarch", "epoch": null, "name": "ca-certificates", "release": "72.el7_9", "source": "rpm", "version": "2023.2.60_v7.0.306" } ], "centos-logos": [ { "arch": "noarch", "epoch": null, "name": "centos-logos", "release": "3.el7.centos", "source": "rpm", "version": "70.0.6" } ], "centos-release": [ { "arch": "x86_64", "epoch": null, "name": "centos-release", "release": "9.2009.1.el7.centos", "source": "rpm", "version": "7" } ], "checkpolicy": [ { "arch": "x86_64", "epoch": null, "name": "checkpolicy", "release": "8.el7", "source": "rpm", "version": "2.5" } ], "chkconfig": [ { "arch": "x86_64", "epoch": null, "name": "chkconfig", "release": "1.el7", "source": "rpm", "version": "1.7.6" } ], "chrony": [ { "arch": "x86_64", "epoch": null, "name": "chrony", "release": "1.el7", "source": "rpm", "version": "3.4" } ], "cloud-utils-growpart": [ { "arch": "noarch", "epoch": null, "name": "cloud-utils-growpart", "release": "5.el7", "source": "rpm", "version": "0.29" } ], "coreutils": [ { "arch": "x86_64", "epoch": null, "name": "coreutils", "release": "24.el7_9.2", "source": "rpm", "version": "8.22" } ], "cpio": [ { "arch": "x86_64", "epoch": null, "name": "cpio", "release": "28.el7", "source": "rpm", "version": "2.11" } ], "cpp": [ { "arch": "x86_64", "epoch": null, "name": "cpp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "cracklib": [ { "arch": "x86_64", "epoch": null, "name": "cracklib", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "cracklib-dicts": [ { "arch": "x86_64", "epoch": null, "name": "cracklib-dicts", "release": "11.el7", "source": "rpm", "version": "2.9.0" } ], "createrepo": [ { "arch": "noarch", "epoch": null, "name": "createrepo", "release": "28.el7", "source": "rpm", "version": "0.9.9" } ], "cronie": [ { "arch": "x86_64", "epoch": null, "name": "cronie", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "cronie-anacron": [ { "arch": "x86_64", "epoch": null, "name": "cronie-anacron", "release": "25.el7_9", "source": "rpm", "version": "1.4.11" } ], "crontabs": [ { "arch": "noarch", "epoch": null, "name": "crontabs", "release": "6.20121102git.el7", "source": "rpm", "version": "1.11" } ], "cryptsetup-libs": [ { "arch": "x86_64", "epoch": null, "name": "cryptsetup-libs", "release": "6.el7", "source": "rpm", "version": "2.0.3" } ], "curl": [ { "arch": "x86_64", "epoch": null, "name": "curl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "cyrus-sasl-lib": [ { "arch": "x86_64", "epoch": null, "name": "cyrus-sasl-lib", "release": "24.el7_9", "source": "rpm", "version": "2.1.26" } ], "dbus": [ { "arch": "x86_64", "epoch": 1, "name": "dbus", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-glib": [ { "arch": "x86_64", "epoch": null, "name": "dbus-glib", "release": "7.el7", "source": "rpm", "version": "0.100" } ], "dbus-libs": [ { "arch": "x86_64", "epoch": 1, "name": "dbus-libs", "release": "15.el7", "source": "rpm", "version": "1.10.24" } ], "dbus-python": [ { "arch": "x86_64", "epoch": null, "name": "dbus-python", "release": "9.el7", "source": "rpm", "version": "1.1.1" } ], "deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "device-mapper": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "device-mapper-libs": [ { "arch": "x86_64", "epoch": 7, "name": "device-mapper-libs", "release": "6.el7_9.5", "source": "rpm", "version": "1.02.170" } ], "dhcp-common": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-common", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "dhcp-libs": [ { "arch": "x86_64", "epoch": 12, "name": "dhcp-libs", "release": "83.el7.centos.1", "source": "rpm", "version": "4.2.5" } ], "diffutils": [ { "arch": "x86_64", "epoch": null, "name": "diffutils", "release": "6.el7_9", "source": "rpm", "version": "3.3" } ], "dmidecode": [ { "arch": "x86_64", "epoch": 1, "name": "dmidecode", "release": "5.el7_9.1", "source": "rpm", "version": "3.2" } ], "dnf-data": [ { "arch": "noarch", "epoch": null, "name": "dnf-data", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "dracut": [ { "arch": "x86_64", "epoch": null, "name": "dracut", "release": "572.el7", "source": "rpm", "version": "033" } ], "dracut-config-rescue": [ { "arch": "x86_64", "epoch": null, "name": "dracut-config-rescue", "release": "572.el7", "source": "rpm", "version": "033" } ], "dyninst": [ { "arch": "x86_64", "epoch": null, "name": "dyninst", "release": "3.el7", "source": "rpm", "version": "9.3.1" } ], "e2fsprogs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "e2fsprogs-libs": [ { "arch": "x86_64", "epoch": null, "name": "e2fsprogs-libs", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "ebtables": [ { "arch": "x86_64", "epoch": null, "name": "ebtables", "release": "16.el7", "source": "rpm", "version": "2.0.10" } ], "efivar-libs": [ { "arch": "x86_64", "epoch": null, "name": "efivar-libs", "release": "12.el7", "source": "rpm", "version": "36" } ], "elfutils-default-yama-scope": [ { "arch": "noarch", "epoch": null, "name": "elfutils-default-yama-scope", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libelf": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libelf", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "elfutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "elfutils-libs", "release": "5.el7", "source": "rpm", "version": "0.176" } ], "epel-release": [ { "arch": "noarch", "epoch": null, "name": "epel-release", "release": "14", "source": "rpm", "version": "7" } ], "ethtool": [ { "arch": "x86_64", "epoch": 2, "name": "ethtool", "release": "10.el7", "source": "rpm", "version": "4.8" } ], "expat": [ { "arch": "x86_64", "epoch": null, "name": "expat", "release": "15.el7_9", "source": "rpm", "version": "2.1.0" } ], "file": [ { "arch": "x86_64", "epoch": null, "name": "file", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "file-libs": [ { "arch": "x86_64", "epoch": null, "name": "file-libs", "release": "37.el7", "source": "rpm", "version": "5.11" } ], "filesystem": [ { "arch": "x86_64", "epoch": null, "name": "filesystem", "release": "25.el7", "source": "rpm", "version": "3.2" } ], "findutils": [ { "arch": "x86_64", "epoch": 1, "name": "findutils", "release": "6.el7", "source": "rpm", "version": "4.5.11" } ], "fipscheck": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "fipscheck-lib": [ { "arch": "x86_64", "epoch": null, "name": "fipscheck-lib", "release": "6.el7", "source": "rpm", "version": "1.4.1" } ], "firewalld": [ { "arch": "noarch", "epoch": null, "name": "firewalld", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "firewalld-filesystem": [ { "arch": "noarch", "epoch": null, "name": "firewalld-filesystem", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "freetype": [ { "arch": "x86_64", "epoch": null, "name": "freetype", "release": "14.el7_9.1", "source": "rpm", "version": "2.8" } ], "fxload": [ { "arch": "x86_64", "epoch": null, "name": "fxload", "release": "16.el7", "source": "rpm", "version": "2002_04_11" } ], "gawk": [ { "arch": "x86_64", "epoch": null, "name": "gawk", "release": "4.el7_3.1", "source": "rpm", "version": "4.0.2" } ], "gcc": [ { "arch": "x86_64", "epoch": null, "name": "gcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "gdbm": [ { "arch": "x86_64", "epoch": null, "name": "gdbm", "release": "8.el7", "source": "rpm", "version": "1.10" } ], "gettext": [ { "arch": "x86_64", "epoch": null, "name": "gettext", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "gettext-libs": [ { "arch": "x86_64", "epoch": null, "name": "gettext-libs", "release": "3.el7", "source": "rpm", "version": "0.19.8.1" } ], "git": [ { "arch": "x86_64", "epoch": null, "name": "git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "glib2": [ { "arch": "x86_64", "epoch": null, "name": "glib2", "release": "9.el7_9", "source": "rpm", "version": "2.56.1" } ], "glibc": [ { "arch": "x86_64", "epoch": null, "name": "glibc", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-common": [ { "arch": "x86_64", "epoch": null, "name": "glibc-common", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-devel": [ { "arch": "x86_64", "epoch": null, "name": "glibc-devel", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "glibc-headers": [ { "arch": "x86_64", "epoch": null, "name": "glibc-headers", "release": "326.el7_9", "source": "rpm", "version": "2.17" } ], "gmp": [ { "arch": "x86_64", "epoch": 1, "name": "gmp", "release": "15.el7", "source": "rpm", "version": "6.0.0" } ], "gnupg2": [ { "arch": "x86_64", "epoch": null, "name": "gnupg2", "release": "5.el7_5", "source": "rpm", "version": "2.0.22" } ], "gobject-introspection": [ { "arch": "x86_64", "epoch": null, "name": "gobject-introspection", "release": "1.el7", "source": "rpm", "version": "1.56.1" } ], "gpg-pubkey": [ { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "52ae6884", "source": "rpm", "version": "352c64e5" }, { "arch": null, "epoch": null, "name": "gpg-pubkey", "release": "53a7ff4b", "source": "rpm", "version": "f4a80eb5" } ], "gpgme": [ { "arch": "x86_64", "epoch": null, "name": "gpgme", "release": "5.el7", "source": "rpm", "version": "1.3.2" } ], "gpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "gpm-libs", "release": "6.el7", "source": "rpm", "version": "1.20.7" } ], "grep": [ { "arch": "x86_64", "epoch": null, "name": "grep", "release": "3.el7", "source": "rpm", "version": "2.20" } ], "groff-base": [ { "arch": "x86_64", "epoch": null, "name": "groff-base", "release": "8.el7", "source": "rpm", "version": "1.22.2" } ], "grub2": [ { "arch": "x86_64", "epoch": 1, "name": "grub2", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-common": [ { "arch": "noarch", "epoch": 1, "name": "grub2-common", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-pc", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-pc-modules": [ { "arch": "noarch", "epoch": 1, "name": "grub2-pc-modules", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-extra": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-extra", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grub2-tools-minimal": [ { "arch": "x86_64", "epoch": 1, "name": "grub2-tools-minimal", "release": "0.87.0.2.el7.centos.11", "source": "rpm", "version": "2.02" } ], "grubby": [ { "arch": "x86_64", "epoch": null, "name": "grubby", "release": "26.el7", "source": "rpm", "version": "8.28" } ], "gssproxy": [ { "arch": "x86_64", "epoch": null, "name": "gssproxy", "release": "30.el7_9", "source": "rpm", "version": "0.7.0" } ], "gzip": [ { "arch": "x86_64", "epoch": null, "name": "gzip", "release": "11.el7_9", "source": "rpm", "version": "1.5" } ], "hardlink": [ { "arch": "x86_64", "epoch": 1, "name": "hardlink", "release": "19.el7", "source": "rpm", "version": "1.0" } ], "hostname": [ { "arch": "x86_64", "epoch": null, "name": "hostname", "release": "3.el7_7.1", "source": "rpm", "version": "3.13" } ], "hwdata": [ { "arch": "x86_64", "epoch": null, "name": "hwdata", "release": "9.7.el7", "source": "rpm", "version": "0.252" } ], "info": [ { "arch": "x86_64", "epoch": null, "name": "info", "release": "5.el7", "source": "rpm", "version": "5.1" } ], "iproute": [ { "arch": "x86_64", "epoch": null, "name": "iproute", "release": "30.el7", "source": "rpm", "version": "4.11.0" } ], "iprutils": [ { "arch": "x86_64", "epoch": null, "name": "iprutils", "release": "3.el7_7", "source": "rpm", "version": "2.4.17.1" } ], "ipset": [ { "arch": "x86_64", "epoch": null, "name": "ipset", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "ipset-libs": [ { "arch": "x86_64", "epoch": null, "name": "ipset-libs", "release": "1.el7", "source": "rpm", "version": "7.1" } ], "iptables": [ { "arch": "x86_64", "epoch": null, "name": "iptables", "release": "35.el7", "source": "rpm", "version": "1.4.21" } ], "iputils": [ { "arch": "x86_64", "epoch": null, "name": "iputils", "release": "10.el7", "source": "rpm", "version": "20160308" } ], "irqbalance": [ { "arch": "x86_64", "epoch": 3, "name": "irqbalance", "release": "12.el7", "source": "rpm", "version": "1.0.7" } ], "ivtv-firmware": [ { "arch": "noarch", "epoch": 2, "name": "ivtv-firmware", "release": "26.el7", "source": "rpm", "version": "20080701" } ], "iwl100-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl100-firmware", "release": "82.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl1000-firmware": [ { "arch": "noarch", "epoch": 1, "name": "iwl1000-firmware", "release": "82.el7_9", "source": "rpm", "version": "39.31.5.1" } ], "iwl105-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl105-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl135-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl135-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2000-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl2030-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl2030-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl3160-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3160-firmware", "release": "82.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "iwl3945-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl3945-firmware", "release": "82.el7_9", "source": "rpm", "version": "15.32.2.9" } ], "iwl4965-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl4965-firmware", "release": "82.el7_9", "source": "rpm", "version": "228.61.2.24" } ], "iwl5000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5000-firmware", "release": "82.el7_9", "source": "rpm", "version": "8.83.5.1_1" } ], "iwl5150-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl5150-firmware", "release": "82.el7_9", "source": "rpm", "version": "8.24.2.2" } ], "iwl6000-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000-firmware", "release": "82.el7_9", "source": "rpm", "version": "9.221.4.1" } ], "iwl6000g2a-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2a-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6000g2b-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6000g2b-firmware", "release": "82.el7_9", "source": "rpm", "version": "18.168.6.1" } ], "iwl6050-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl6050-firmware", "release": "82.el7_9", "source": "rpm", "version": "41.28.5.1" } ], "iwl7260-firmware": [ { "arch": "noarch", "epoch": null, "name": "iwl7260-firmware", "release": "82.el7_9", "source": "rpm", "version": "25.30.13.0" } ], "jansson": [ { "arch": "x86_64", "epoch": null, "name": "jansson", "release": "1.el7", "source": "rpm", "version": "2.10" } ], "json-c": [ { "arch": "x86_64", "epoch": null, "name": "json-c", "release": "4.el7_0", "source": "rpm", "version": "0.11" } ], "kbd-legacy": [ { "arch": "noarch", "epoch": null, "name": "kbd-legacy", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kbd-misc": [ { "arch": "noarch", "epoch": null, "name": "kbd-misc", "release": "16.el7_9", "source": "rpm", "version": "1.15.5" } ], "kernel": [ { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.el7", "source": "rpm", "version": "3.10.0" }, { "arch": "x86_64", "epoch": null, "name": "kernel", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-debug-devel": [ { "arch": "x86_64", "epoch": null, "name": "kernel-debug-devel", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-headers": [ { "arch": "x86_64", "epoch": null, "name": "kernel-headers", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "kernel-tools-libs": [ { "arch": "x86_64", "epoch": null, "name": "kernel-tools-libs", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "keyutils": [ { "arch": "x86_64", "epoch": null, "name": "keyutils", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "keyutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "keyutils-libs", "release": "3.el7", "source": "rpm", "version": "1.5.8" } ], "kmod": [ { "arch": "x86_64", "epoch": null, "name": "kmod", "release": "28.el7", "source": "rpm", "version": "20" } ], "kmod-libs": [ { "arch": "x86_64", "epoch": null, "name": "kmod-libs", "release": "28.el7", "source": "rpm", "version": "20" } ], "kpartx": [ { "arch": "x86_64", "epoch": null, "name": "kpartx", "release": "136.el7_9", "source": "rpm", "version": "0.4.9" } ], "krb5-libs": [ { "arch": "x86_64", "epoch": null, "name": "krb5-libs", "release": "55.el7_9", "source": "rpm", "version": "1.15.1" } ], "less": [ { "arch": "x86_64", "epoch": null, "name": "less", "release": "9.el7", "source": "rpm", "version": "458" } ], "libacl": [ { "arch": "x86_64", "epoch": null, "name": "libacl", "release": "15.el7", "source": "rpm", "version": "2.2.51" } ], "libassuan": [ { "arch": "x86_64", "epoch": null, "name": "libassuan", "release": "3.el7", "source": "rpm", "version": "2.1.0" } ], "libattr": [ { "arch": "x86_64", "epoch": null, "name": "libattr", "release": "13.el7", "source": "rpm", "version": "2.4.46" } ], "libbasicobjects": [ { "arch": "x86_64", "epoch": null, "name": "libbasicobjects", "release": "32.el7", "source": "rpm", "version": "0.1.1" } ], "libblkid": [ { "arch": "x86_64", "epoch": null, "name": "libblkid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libcap": [ { "arch": "x86_64", "epoch": null, "name": "libcap", "release": "11.el7", "source": "rpm", "version": "2.22" } ], "libcap-ng": [ { "arch": "x86_64", "epoch": null, "name": "libcap-ng", "release": "4.el7", "source": "rpm", "version": "0.7.5" } ], "libcgroup": [ { "arch": "x86_64", "epoch": null, "name": "libcgroup", "release": "21.el7", "source": "rpm", "version": "0.41" } ], "libcollection": [ { "arch": "x86_64", "epoch": null, "name": "libcollection", "release": "32.el7", "source": "rpm", "version": "0.7.0" } ], "libcom_err": [ { "arch": "x86_64", "epoch": null, "name": "libcom_err", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libcomps": [ { "arch": "x86_64", "epoch": null, "name": "libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "libcroco": [ { "arch": "x86_64", "epoch": null, "name": "libcroco", "release": "6.el7_9", "source": "rpm", "version": "0.6.12" } ], "libcurl": [ { "arch": "x86_64", "epoch": null, "name": "libcurl", "release": "59.el7_9.2", "source": "rpm", "version": "7.29.0" } ], "libdaemon": [ { "arch": "x86_64", "epoch": null, "name": "libdaemon", "release": "7.el7", "source": "rpm", "version": "0.14" } ], "libdb": [ { "arch": "x86_64", "epoch": null, "name": "libdb", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdb-utils": [ { "arch": "x86_64", "epoch": null, "name": "libdb-utils", "release": "25.el7", "source": "rpm", "version": "5.3.21" } ], "libdnf": [ { "arch": "x86_64", "epoch": null, "name": "libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "libdrm": [ { "arch": "x86_64", "epoch": null, "name": "libdrm", "release": "2.el7", "source": "rpm", "version": "2.4.97" } ], "libdwarf": [ { "arch": "x86_64", "epoch": null, "name": "libdwarf", "release": "4.el7", "source": "rpm", "version": "20130207" } ], "libedit": [ { "arch": "x86_64", "epoch": null, "name": "libedit", "release": "12.20121213cvs.el7", "source": "rpm", "version": "3.0" } ], "libestr": [ { "arch": "x86_64", "epoch": null, "name": "libestr", "release": "2.el7", "source": "rpm", "version": "0.1.9" } ], "libevent": [ { "arch": "x86_64", "epoch": null, "name": "libevent", "release": "4.el7", "source": "rpm", "version": "2.0.21" } ], "libfastjson": [ { "arch": "x86_64", "epoch": null, "name": "libfastjson", "release": "3.el7", "source": "rpm", "version": "0.99.4" } ], "libffi": [ { "arch": "x86_64", "epoch": null, "name": "libffi", "release": "19.el7", "source": "rpm", "version": "3.0.13" } ], "libgcc": [ { "arch": "x86_64", "epoch": null, "name": "libgcc", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgcrypt": [ { "arch": "x86_64", "epoch": null, "name": "libgcrypt", "release": "14.el7", "source": "rpm", "version": "1.5.3" } ], "libgomp": [ { "arch": "x86_64", "epoch": null, "name": "libgomp", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libgpg-error": [ { "arch": "x86_64", "epoch": null, "name": "libgpg-error", "release": "3.el7", "source": "rpm", "version": "1.12" } ], "libidn": [ { "arch": "x86_64", "epoch": null, "name": "libidn", "release": "4.el7", "source": "rpm", "version": "1.28" } ], "libini_config": [ { "arch": "x86_64", "epoch": null, "name": "libini_config", "release": "32.el7", "source": "rpm", "version": "1.3.1" } ], "libmnl": [ { "arch": "x86_64", "epoch": null, "name": "libmnl", "release": "7.el7", "source": "rpm", "version": "1.0.3" } ], "libmodulemd": [ { "arch": "x86_64", "epoch": null, "name": "libmodulemd", "release": "1.el7", "source": "rpm", "version": "1.6.3" } ], "libmount": [ { "arch": "x86_64", "epoch": null, "name": "libmount", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libmpc": [ { "arch": "x86_64", "epoch": null, "name": "libmpc", "release": "3.el7", "source": "rpm", "version": "1.0.1" } ], "libndp": [ { "arch": "x86_64", "epoch": null, "name": "libndp", "release": "9.el7", "source": "rpm", "version": "1.2" } ], "libnetfilter_conntrack": [ { "arch": "x86_64", "epoch": null, "name": "libnetfilter_conntrack", "release": "1.el7_3", "source": "rpm", "version": "1.0.6" } ], "libnfnetlink": [ { "arch": "x86_64", "epoch": null, "name": "libnfnetlink", "release": "4.el7", "source": "rpm", "version": "1.0.1" } ], "libnfsidmap": [ { "arch": "x86_64", "epoch": null, "name": "libnfsidmap", "release": "19.el7", "source": "rpm", "version": "0.25" } ], "libnl3": [ { "arch": "x86_64", "epoch": null, "name": "libnl3", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libnl3-cli": [ { "arch": "x86_64", "epoch": null, "name": "libnl3-cli", "release": "4.el7", "source": "rpm", "version": "3.2.28" } ], "libpath_utils": [ { "arch": "x86_64", "epoch": null, "name": "libpath_utils", "release": "32.el7", "source": "rpm", "version": "0.2.1" } ], "libpciaccess": [ { "arch": "x86_64", "epoch": null, "name": "libpciaccess", "release": "1.el7", "source": "rpm", "version": "0.14" } ], "libpipeline": [ { "arch": "x86_64", "epoch": null, "name": "libpipeline", "release": "3.el7", "source": "rpm", "version": "1.2.3" } ], "libpng": [ { "arch": "x86_64", "epoch": 2, "name": "libpng", "release": "8.el7", "source": "rpm", "version": "1.5.13" } ], "libpwquality": [ { "arch": "x86_64", "epoch": null, "name": "libpwquality", "release": "5.el7", "source": "rpm", "version": "1.2.3" } ], "libref_array": [ { "arch": "x86_64", "epoch": null, "name": "libref_array", "release": "32.el7", "source": "rpm", "version": "0.1.5" } ], "librepo": [ { "arch": "x86_64", "epoch": null, "name": "librepo", "release": "8.el7_9", "source": "rpm", "version": "1.8.1" } ], "libreport-filesystem": [ { "arch": "x86_64", "epoch": null, "name": "libreport-filesystem", "release": "53.el7.centos", "source": "rpm", "version": "2.1.11" } ], "libseccomp": [ { "arch": "x86_64", "epoch": null, "name": "libseccomp", "release": "4.el7", "source": "rpm", "version": "2.3.1" } ], "libselinux": [ { "arch": "x86_64", "epoch": null, "name": "libselinux", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-python": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-python", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libselinux-utils": [ { "arch": "x86_64", "epoch": null, "name": "libselinux-utils", "release": "15.el7", "source": "rpm", "version": "2.5" } ], "libsemanage": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsemanage-python": [ { "arch": "x86_64", "epoch": null, "name": "libsemanage-python", "release": "14.el7", "source": "rpm", "version": "2.5" } ], "libsepol": [ { "arch": "x86_64", "epoch": null, "name": "libsepol", "release": "10.el7", "source": "rpm", "version": "2.5" } ], "libsmartcols": [ { "arch": "x86_64", "epoch": null, "name": "libsmartcols", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libsolv": [ { "arch": "x86_64", "epoch": null, "name": "libsolv", "release": "4.el7", "source": "rpm", "version": "0.6.34" } ], "libss": [ { "arch": "x86_64", "epoch": null, "name": "libss", "release": "19.el7", "source": "rpm", "version": "1.42.9" } ], "libssh2": [ { "arch": "x86_64", "epoch": null, "name": "libssh2", "release": "4.el7_9.1", "source": "rpm", "version": "1.8.0" } ], "libstdc++": [ { "arch": "x86_64", "epoch": null, "name": "libstdc++", "release": "44.el7", "source": "rpm", "version": "4.8.5" } ], "libsysfs": [ { "arch": "x86_64", "epoch": null, "name": "libsysfs", "release": "16.el7", "source": "rpm", "version": "2.1.0" } ], "libtasn1": [ { "arch": "x86_64", "epoch": null, "name": "libtasn1", "release": "1.el7", "source": "rpm", "version": "4.10" } ], "libteam": [ { "arch": "x86_64", "epoch": null, "name": "libteam", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "libtirpc": [ { "arch": "x86_64", "epoch": null, "name": "libtirpc", "release": "0.16.el7", "source": "rpm", "version": "0.2.4" } ], "libunistring": [ { "arch": "x86_64", "epoch": null, "name": "libunistring", "release": "9.el7", "source": "rpm", "version": "0.9.3" } ], "libuser": [ { "arch": "x86_64", "epoch": null, "name": "libuser", "release": "9.el7", "source": "rpm", "version": "0.60" } ], "libutempter": [ { "arch": "x86_64", "epoch": null, "name": "libutempter", "release": "4.el7", "source": "rpm", "version": "1.1.6" } ], "libuuid": [ { "arch": "x86_64", "epoch": null, "name": "libuuid", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "libverto": [ { "arch": "x86_64", "epoch": null, "name": "libverto", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libverto-libevent": [ { "arch": "x86_64", "epoch": null, "name": "libverto-libevent", "release": "4.el7", "source": "rpm", "version": "0.2.5" } ], "libxml2": [ { "arch": "x86_64", "epoch": null, "name": "libxml2", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxml2-python": [ { "arch": "x86_64", "epoch": null, "name": "libxml2-python", "release": "6.el7_9.6", "source": "rpm", "version": "2.9.1" } ], "libxslt": [ { "arch": "x86_64", "epoch": null, "name": "libxslt", "release": "6.el7", "source": "rpm", "version": "1.1.28" } ], "libyaml": [ { "arch": "x86_64", "epoch": null, "name": "libyaml", "release": "11.el7_0", "source": "rpm", "version": "0.1.4" } ], "linux-firmware": [ { "arch": "noarch", "epoch": null, "name": "linux-firmware", "release": "82.git78c0348.el7_9", "source": "rpm", "version": "20200421" } ], "logrotate": [ { "arch": "x86_64", "epoch": null, "name": "logrotate", "release": "19.el7", "source": "rpm", "version": "3.8.6" } ], "lshw": [ { "arch": "x86_64", "epoch": null, "name": "lshw", "release": "0.1.20180614git028f6b2.beaker.1.el7bkr.1", "source": "rpm", "version": "B.02.19" } ], "lsscsi": [ { "arch": "x86_64", "epoch": null, "name": "lsscsi", "release": "6.el7", "source": "rpm", "version": "0.27" } ], "lua": [ { "arch": "x86_64", "epoch": null, "name": "lua", "release": "15.el7", "source": "rpm", "version": "5.1.4" } ], "lz4": [ { "arch": "x86_64", "epoch": null, "name": "lz4", "release": "1.el7", "source": "rpm", "version": "1.8.3" } ], "lzo": [ { "arch": "x86_64", "epoch": null, "name": "lzo", "release": "8.el7", "source": "rpm", "version": "2.06" } ], "mailcap": [ { "arch": "noarch", "epoch": null, "name": "mailcap", "release": "2.el7", "source": "rpm", "version": "2.1.41" } ], "make": [ { "arch": "x86_64", "epoch": 1, "name": "make", "release": "24.el7", "source": "rpm", "version": "3.82" } ], "man-db": [ { "arch": "x86_64", "epoch": null, "name": "man-db", "release": "11.el7", "source": "rpm", "version": "2.6.3" } ], "mariadb-libs": [ { "arch": "x86_64", "epoch": 1, "name": "mariadb-libs", "release": "1.el7", "source": "rpm", "version": "5.5.68" } ], "microcode_ctl": [ { "arch": "x86_64", "epoch": 2, "name": "microcode_ctl", "release": "73.20.el7_9", "source": "rpm", "version": "2.1" } ], "mokutil": [ { "arch": "x86_64", "epoch": null, "name": "mokutil", "release": "8.el7", "source": "rpm", "version": "15" } ], "mozjs17": [ { "arch": "x86_64", "epoch": null, "name": "mozjs17", "release": "20.el7", "source": "rpm", "version": "17.0.0" } ], "mpfr": [ { "arch": "x86_64", "epoch": null, "name": "mpfr", "release": "4.el7", "source": "rpm", "version": "3.1.1" } ], "ncurses": [ { "arch": "x86_64", "epoch": null, "name": "ncurses", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-base": [ { "arch": "noarch", "epoch": null, "name": "ncurses-base", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "ncurses-libs": [ { "arch": "x86_64", "epoch": null, "name": "ncurses-libs", "release": "14.20130511.el7_4", "source": "rpm", "version": "5.9" } ], "net-tools": [ { "arch": "x86_64", "epoch": null, "name": "net-tools", "release": "0.25.20131004git.el7", "source": "rpm", "version": "2.0" } ], "newt": [ { "arch": "x86_64", "epoch": null, "name": "newt", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "newt-python": [ { "arch": "x86_64", "epoch": null, "name": "newt-python", "release": "4.el7", "source": "rpm", "version": "0.52.15" } ], "nfs-utils": [ { "arch": "x86_64", "epoch": 1, "name": "nfs-utils", "release": "0.68.el7.2", "source": "rpm", "version": "1.3.0" } ], "nspr": [ { "arch": "x86_64", "epoch": null, "name": "nspr", "release": "1.el7_9", "source": "rpm", "version": "4.35.0" } ], "nss": [ { "arch": "x86_64", "epoch": null, "name": "nss", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-pem": [ { "arch": "x86_64", "epoch": null, "name": "nss-pem", "release": "7.el7_9.1", "source": "rpm", "version": "1.0.3" } ], "nss-softokn": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-softokn-freebl": [ { "arch": "x86_64", "epoch": null, "name": "nss-softokn-freebl", "release": "6.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-sysinit": [ { "arch": "x86_64", "epoch": null, "name": "nss-sysinit", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-tools": [ { "arch": "x86_64", "epoch": null, "name": "nss-tools", "release": "2.el7_9", "source": "rpm", "version": "3.90.0" } ], "nss-util": [ { "arch": "x86_64", "epoch": null, "name": "nss-util", "release": "1.el7_9", "source": "rpm", "version": "3.90.0" } ], "ntpdate": [ { "arch": "x86_64", "epoch": null, "name": "ntpdate", "release": "29.el7.centos.2", "source": "rpm", "version": "4.2.6p5" } ], "numactl-libs": [ { "arch": "x86_64", "epoch": null, "name": "numactl-libs", "release": "5.el7", "source": "rpm", "version": "2.0.12" } ], "openldap": [ { "arch": "x86_64", "epoch": null, "name": "openldap", "release": "25.el7_9", "source": "rpm", "version": "2.4.44" } ], "openssh": [ { "arch": "x86_64", "epoch": null, "name": "openssh", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-clients": [ { "arch": "x86_64", "epoch": null, "name": "openssh-clients", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssh-server": [ { "arch": "x86_64", "epoch": null, "name": "openssh-server", "release": "23.el7_9", "source": "rpm", "version": "7.4p1" } ], "openssl": [ { "arch": "x86_64", "epoch": 1, "name": "openssl", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "openssl-libs": [ { "arch": "x86_64", "epoch": 1, "name": "openssl-libs", "release": "26.el7_9", "source": "rpm", "version": "1.0.2k" } ], "os-prober": [ { "arch": "x86_64", "epoch": null, "name": "os-prober", "release": "9.el7", "source": "rpm", "version": "1.58" } ], "p11-kit": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "p11-kit-trust": [ { "arch": "x86_64", "epoch": null, "name": "p11-kit-trust", "release": "3.el7", "source": "rpm", "version": "0.23.5" } ], "pam": [ { "arch": "x86_64", "epoch": null, "name": "pam", "release": "23.el7", "source": "rpm", "version": "1.1.8" } ], "parted": [ { "arch": "x86_64", "epoch": null, "name": "parted", "release": "32.el7", "source": "rpm", "version": "3.1" } ], "passwd": [ { "arch": "x86_64", "epoch": null, "name": "passwd", "release": "6.el7", "source": "rpm", "version": "0.79" } ], "pciutils-libs": [ { "arch": "x86_64", "epoch": null, "name": "pciutils-libs", "release": "3.el7", "source": "rpm", "version": "3.5.1" } ], "pcre": [ { "arch": "x86_64", "epoch": null, "name": "pcre", "release": "17.el7", "source": "rpm", "version": "8.32" } ], "perl": [ { "arch": "x86_64", "epoch": 4, "name": "perl", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-Business-ISBN": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN", "release": "2.el7", "source": "rpm", "version": "2.06" } ], "perl-Business-ISBN-Data": [ { "arch": "noarch", "epoch": null, "name": "perl-Business-ISBN-Data", "release": "2.el7", "source": "rpm", "version": "20120719.001" } ], "perl-CGI": [ { "arch": "noarch", "epoch": null, "name": "perl-CGI", "release": "4.el7", "source": "rpm", "version": "3.63" } ], "perl-Carp": [ { "arch": "noarch", "epoch": null, "name": "perl-Carp", "release": "244.el7", "source": "rpm", "version": "1.26" } ], "perl-Compress-Raw-Bzip2": [ { "arch": "x86_64", "epoch": null, "name": "perl-Compress-Raw-Bzip2", "release": "3.el7", "source": "rpm", "version": "2.061" } ], "perl-Compress-Raw-Zlib": [ { "arch": "x86_64", "epoch": 1, "name": "perl-Compress-Raw-Zlib", "release": "4.el7", "source": "rpm", "version": "2.061" } ], "perl-Data-Dumper": [ { "arch": "x86_64", "epoch": null, "name": "perl-Data-Dumper", "release": "3.el7", "source": "rpm", "version": "2.145" } ], "perl-Date-Manip": [ { "arch": "noarch", "epoch": null, "name": "perl-Date-Manip", "release": "2.el7", "source": "rpm", "version": "6.41" } ], "perl-Digest": [ { "arch": "noarch", "epoch": null, "name": "perl-Digest", "release": "245.el7", "source": "rpm", "version": "1.17" } ], "perl-Digest-MD5": [ { "arch": "x86_64", "epoch": null, "name": "perl-Digest-MD5", "release": "3.el7", "source": "rpm", "version": "2.52" } ], "perl-Encode": [ { "arch": "x86_64", "epoch": null, "name": "perl-Encode", "release": "7.el7", "source": "rpm", "version": "2.51" } ], "perl-Encode-Locale": [ { "arch": "noarch", "epoch": null, "name": "perl-Encode-Locale", "release": "5.el7", "source": "rpm", "version": "1.03" } ], "perl-Error": [ { "arch": "noarch", "epoch": 1, "name": "perl-Error", "release": "2.el7", "source": "rpm", "version": "0.17020" } ], "perl-Exporter": [ { "arch": "noarch", "epoch": null, "name": "perl-Exporter", "release": "3.el7", "source": "rpm", "version": "5.68" } ], "perl-FCGI": [ { "arch": "x86_64", "epoch": 1, "name": "perl-FCGI", "release": "8.el7", "source": "rpm", "version": "0.74" } ], "perl-File-Listing": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Listing", "release": "7.el7", "source": "rpm", "version": "6.04" } ], "perl-File-Path": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Path", "release": "2.el7", "source": "rpm", "version": "2.09" } ], "perl-File-Temp": [ { "arch": "noarch", "epoch": null, "name": "perl-File-Temp", "release": "3.el7", "source": "rpm", "version": "0.23.01" } ], "perl-Filter": [ { "arch": "x86_64", "epoch": null, "name": "perl-Filter", "release": "3.el7", "source": "rpm", "version": "1.49" } ], "perl-Font-AFM": [ { "arch": "noarch", "epoch": null, "name": "perl-Font-AFM", "release": "13.el7", "source": "rpm", "version": "1.20" } ], "perl-Getopt-Long": [ { "arch": "noarch", "epoch": null, "name": "perl-Getopt-Long", "release": "3.el7", "source": "rpm", "version": "2.40" } ], "perl-Git": [ { "arch": "noarch", "epoch": null, "name": "perl-Git", "release": "25.el7_9", "source": "rpm", "version": "1.8.3.1" } ], "perl-HTML-Format": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Format", "release": "7.el7", "source": "rpm", "version": "2.10" } ], "perl-HTML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-HTML-Parser", "release": "4.el7", "source": "rpm", "version": "3.71" } ], "perl-HTML-Tagset": [ { "arch": "noarch", "epoch": null, "name": "perl-HTML-Tagset", "release": "15.el7", "source": "rpm", "version": "3.20" } ], "perl-HTML-Tree": [ { "arch": "noarch", "epoch": 1, "name": "perl-HTML-Tree", "release": "2.el7", "source": "rpm", "version": "5.03" } ], "perl-HTTP-Cookies": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Cookies", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Daemon": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Daemon", "release": "8.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Date": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Date", "release": "8.el7", "source": "rpm", "version": "6.02" } ], "perl-HTTP-Message": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Message", "release": "6.el7", "source": "rpm", "version": "6.06" } ], "perl-HTTP-Negotiate": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Negotiate", "release": "5.el7", "source": "rpm", "version": "6.01" } ], "perl-HTTP-Tiny": [ { "arch": "noarch", "epoch": null, "name": "perl-HTTP-Tiny", "release": "3.el7", "source": "rpm", "version": "0.033" } ], "perl-IO-Compress": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Compress", "release": "2.el7", "source": "rpm", "version": "2.061" } ], "perl-IO-HTML": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-HTML", "release": "2.el7", "source": "rpm", "version": "1.00" } ], "perl-IO-Socket-IP": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-IP", "release": "5.el7", "source": "rpm", "version": "0.21" } ], "perl-IO-Socket-SSL": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-Socket-SSL", "release": "7.el7", "source": "rpm", "version": "1.94" } ], "perl-IO-Zlib": [ { "arch": "noarch", "epoch": 1, "name": "perl-IO-Zlib", "release": "299.el7_9", "source": "rpm", "version": "1.10" } ], "perl-IO-stringy": [ { "arch": "noarch", "epoch": null, "name": "perl-IO-stringy", "release": "22.el7", "source": "rpm", "version": "2.110" } ], "perl-LWP-MediaTypes": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-MediaTypes", "release": "2.el7", "source": "rpm", "version": "6.02" } ], "perl-LWP-Protocol-https": [ { "arch": "noarch", "epoch": null, "name": "perl-LWP-Protocol-https", "release": "4.el7", "source": "rpm", "version": "6.04" } ], "perl-Module-Load": [ { "arch": "noarch", "epoch": 1, "name": "perl-Module-Load", "release": "3.el7", "source": "rpm", "version": "0.24" } ], "perl-Mozilla-CA": [ { "arch": "noarch", "epoch": null, "name": "perl-Mozilla-CA", "release": "5.el7", "source": "rpm", "version": "20130114" } ], "perl-Net-HTTP": [ { "arch": "noarch", "epoch": null, "name": "perl-Net-HTTP", "release": "2.el7", "source": "rpm", "version": "6.06" } ], "perl-Net-LibIDN": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-LibIDN", "release": "15.el7", "source": "rpm", "version": "0.12" } ], "perl-Net-SSLeay": [ { "arch": "x86_64", "epoch": null, "name": "perl-Net-SSLeay", "release": "6.el7", "source": "rpm", "version": "1.55" } ], "perl-PathTools": [ { "arch": "x86_64", "epoch": null, "name": "perl-PathTools", "release": "5.el7", "source": "rpm", "version": "3.40" } ], "perl-Pod-Escapes": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Escapes", "release": "299.el7_9", "source": "rpm", "version": "1.04" } ], "perl-Pod-Perldoc": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Perldoc", "release": "4.el7", "source": "rpm", "version": "3.20" } ], "perl-Pod-Simple": [ { "arch": "noarch", "epoch": 1, "name": "perl-Pod-Simple", "release": "4.el7", "source": "rpm", "version": "3.28" } ], "perl-Pod-Usage": [ { "arch": "noarch", "epoch": null, "name": "perl-Pod-Usage", "release": "3.el7", "source": "rpm", "version": "1.63" } ], "perl-Scalar-List-Utils": [ { "arch": "x86_64", "epoch": null, "name": "perl-Scalar-List-Utils", "release": "248.el7", "source": "rpm", "version": "1.27" } ], "perl-Socket": [ { "arch": "x86_64", "epoch": null, "name": "perl-Socket", "release": "5.el7", "source": "rpm", "version": "2.010" } ], "perl-Storable": [ { "arch": "x86_64", "epoch": null, "name": "perl-Storable", "release": "3.el7", "source": "rpm", "version": "2.45" } ], "perl-TermReadKey": [ { "arch": "x86_64", "epoch": null, "name": "perl-TermReadKey", "release": "20.el7", "source": "rpm", "version": "2.30" } ], "perl-Text-ParseWords": [ { "arch": "noarch", "epoch": null, "name": "perl-Text-ParseWords", "release": "4.el7", "source": "rpm", "version": "3.29" } ], "perl-Time-HiRes": [ { "arch": "x86_64", "epoch": 4, "name": "perl-Time-HiRes", "release": "3.el7", "source": "rpm", "version": "1.9725" } ], "perl-Time-Local": [ { "arch": "noarch", "epoch": null, "name": "perl-Time-Local", "release": "2.el7", "source": "rpm", "version": "1.2300" } ], "perl-TimeDate": [ { "arch": "noarch", "epoch": 1, "name": "perl-TimeDate", "release": "2.el7", "source": "rpm", "version": "2.30" } ], "perl-URI": [ { "arch": "noarch", "epoch": null, "name": "perl-URI", "release": "9.el7", "source": "rpm", "version": "1.60" } ], "perl-WWW-RobotRules": [ { "arch": "noarch", "epoch": null, "name": "perl-WWW-RobotRules", "release": "5.el7", "source": "rpm", "version": "6.02" } ], "perl-XML-LibXML": [ { "arch": "x86_64", "epoch": 1, "name": "perl-XML-LibXML", "release": "5.el7", "source": "rpm", "version": "2.0018" } ], "perl-XML-NamespaceSupport": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-NamespaceSupport", "release": "10.el7", "source": "rpm", "version": "1.11" } ], "perl-XML-Parser": [ { "arch": "x86_64", "epoch": null, "name": "perl-XML-Parser", "release": "10.el7", "source": "rpm", "version": "2.41" } ], "perl-XML-SAX": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX", "release": "9.el7", "source": "rpm", "version": "0.99" } ], "perl-XML-SAX-Base": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-SAX-Base", "release": "7.el7", "source": "rpm", "version": "1.08" } ], "perl-XML-Twig": [ { "arch": "noarch", "epoch": null, "name": "perl-XML-Twig", "release": "2.el7", "source": "rpm", "version": "3.44" } ], "perl-constant": [ { "arch": "noarch", "epoch": null, "name": "perl-constant", "release": "2.el7", "source": "rpm", "version": "1.27" } ], "perl-libs": [ { "arch": "x86_64", "epoch": 4, "name": "perl-libs", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-libwww-perl": [ { "arch": "noarch", "epoch": null, "name": "perl-libwww-perl", "release": "2.el7", "source": "rpm", "version": "6.05" } ], "perl-macros": [ { "arch": "x86_64", "epoch": 4, "name": "perl-macros", "release": "299.el7_9", "source": "rpm", "version": "5.16.3" } ], "perl-parent": [ { "arch": "noarch", "epoch": 1, "name": "perl-parent", "release": "244.el7", "source": "rpm", "version": "0.225" } ], "perl-podlators": [ { "arch": "noarch", "epoch": null, "name": "perl-podlators", "release": "3.el7", "source": "rpm", "version": "2.5.1" } ], "perl-threads": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads", "release": "4.el7", "source": "rpm", "version": "1.87" } ], "perl-threads-shared": [ { "arch": "x86_64", "epoch": null, "name": "perl-threads-shared", "release": "6.el7", "source": "rpm", "version": "1.43" } ], "pinentry": [ { "arch": "x86_64", "epoch": null, "name": "pinentry", "release": "17.el7", "source": "rpm", "version": "0.8.1" } ], "pkgconfig": [ { "arch": "x86_64", "epoch": 1, "name": "pkgconfig", "release": "4.el7", "source": "rpm", "version": "0.27.1" } ], "plymouth-core-libs": [ { "arch": "x86_64", "epoch": null, "name": "plymouth-core-libs", "release": "0.34.20140113.el7.centos", "source": "rpm", "version": "0.8.9" } ], "policycoreutils": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "policycoreutils-python": [ { "arch": "x86_64", "epoch": null, "name": "policycoreutils-python", "release": "34.el7", "source": "rpm", "version": "2.5" } ], "polkit": [ { "arch": "x86_64", "epoch": null, "name": "polkit", "release": "26.el7_9.1", "source": "rpm", "version": "0.112" } ], "polkit-pkla-compat": [ { "arch": "x86_64", "epoch": null, "name": "polkit-pkla-compat", "release": "4.el7", "source": "rpm", "version": "0.1" } ], "popt": [ { "arch": "x86_64", "epoch": null, "name": "popt", "release": "16.el7", "source": "rpm", "version": "1.13" } ], "postfix": [ { "arch": "x86_64", "epoch": 2, "name": "postfix", "release": "9.el7", "source": "rpm", "version": "2.10.1" } ], "procps-ng": [ { "arch": "x86_64", "epoch": null, "name": "procps-ng", "release": "28.el7", "source": "rpm", "version": "3.3.10" } ], "psmisc": [ { "arch": "x86_64", "epoch": null, "name": "psmisc", "release": "17.el7", "source": "rpm", "version": "22.20" } ], "pth": [ { "arch": "x86_64", "epoch": null, "name": "pth", "release": "23.el7", "source": "rpm", "version": "2.0.7" } ], "pygpgme": [ { "arch": "x86_64", "epoch": null, "name": "pygpgme", "release": "9.el7", "source": "rpm", "version": "0.3" } ], "pyliblzma": [ { "arch": "x86_64", "epoch": null, "name": "pyliblzma", "release": "11.el7", "source": "rpm", "version": "0.5.3" } ], "pyserial": [ { "arch": "noarch", "epoch": null, "name": "pyserial", "release": "6.el7", "source": "rpm", "version": "2.6" } ], "python": [ { "arch": "x86_64", "epoch": null, "name": "python", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-IPy": [ { "arch": "noarch", "epoch": null, "name": "python-IPy", "release": "6.el7", "source": "rpm", "version": "0.75" } ], "python-babel": [ { "arch": "noarch", "epoch": null, "name": "python-babel", "release": "8.el7", "source": "rpm", "version": "0.9.6" } ], "python-backports": [ { "arch": "x86_64", "epoch": null, "name": "python-backports", "release": "8.el7", "source": "rpm", "version": "1.0" } ], "python-backports-ssl_match_hostname": [ { "arch": "noarch", "epoch": null, "name": "python-backports-ssl_match_hostname", "release": "1.el7", "source": "rpm", "version": "3.5.0.1" } ], "python-chardet": [ { "arch": "noarch", "epoch": null, "name": "python-chardet", "release": "3.el7", "source": "rpm", "version": "2.2.1" } ], "python-configobj": [ { "arch": "noarch", "epoch": null, "name": "python-configobj", "release": "7.el7", "source": "rpm", "version": "4.7.2" } ], "python-decorator": [ { "arch": "noarch", "epoch": null, "name": "python-decorator", "release": "3.el7", "source": "rpm", "version": "3.4.0" } ], "python-deltarpm": [ { "arch": "x86_64", "epoch": null, "name": "python-deltarpm", "release": "3.el7", "source": "rpm", "version": "3.6" } ], "python-enum34": [ { "arch": "noarch", "epoch": null, "name": "python-enum34", "release": "1.el7", "source": "rpm", "version": "1.0.4" } ], "python-firewall": [ { "arch": "noarch", "epoch": null, "name": "python-firewall", "release": "13.el7_9", "source": "rpm", "version": "0.6.3" } ], "python-gobject-base": [ { "arch": "x86_64", "epoch": null, "name": "python-gobject-base", "release": "1.el7_4.1", "source": "rpm", "version": "3.22.0" } ], "python-iniparse": [ { "arch": "noarch", "epoch": null, "name": "python-iniparse", "release": "9.el7", "source": "rpm", "version": "0.4" } ], "python-ipaddress": [ { "arch": "noarch", "epoch": null, "name": "python-ipaddress", "release": "2.el7", "source": "rpm", "version": "1.0.16" } ], "python-jinja2": [ { "arch": "noarch", "epoch": null, "name": "python-jinja2", "release": "4.el7", "source": "rpm", "version": "2.7.2" } ], "python-jsonpatch": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpatch", "release": "4.el7", "source": "rpm", "version": "1.2" } ], "python-jsonpointer": [ { "arch": "noarch", "epoch": null, "name": "python-jsonpointer", "release": "2.el7", "source": "rpm", "version": "1.9" } ], "python-kitchen": [ { "arch": "noarch", "epoch": null, "name": "python-kitchen", "release": "5.el7", "source": "rpm", "version": "1.1.1" } ], "python-libs": [ { "arch": "x86_64", "epoch": null, "name": "python-libs", "release": "94.el7_9", "source": "rpm", "version": "2.7.5" } ], "python-linux-procfs": [ { "arch": "noarch", "epoch": null, "name": "python-linux-procfs", "release": "4.el7", "source": "rpm", "version": "0.4.11" } ], "python-lxml": [ { "arch": "x86_64", "epoch": null, "name": "python-lxml", "release": "4.el7", "source": "rpm", "version": "3.2.1" } ], "python-markupsafe": [ { "arch": "x86_64", "epoch": null, "name": "python-markupsafe", "release": "10.el7", "source": "rpm", "version": "0.11" } ], "python-perf": [ { "arch": "x86_64", "epoch": null, "name": "python-perf", "release": "1160.108.1.el7", "source": "rpm", "version": "3.10.0" } ], "python-prettytable": [ { "arch": "noarch", "epoch": null, "name": "python-prettytable", "release": "3.el7", "source": "rpm", "version": "0.7.2" } ], "python-pycurl": [ { "arch": "x86_64", "epoch": null, "name": "python-pycurl", "release": "19.el7", "source": "rpm", "version": "7.19.0" } ], "python-pyudev": [ { "arch": "noarch", "epoch": null, "name": "python-pyudev", "release": "9.el7", "source": "rpm", "version": "0.15" } ], "python-requests": [ { "arch": "noarch", "epoch": null, "name": "python-requests", "release": "10.el7", "source": "rpm", "version": "2.6.0" } ], "python-schedutils": [ { "arch": "x86_64", "epoch": null, "name": "python-schedutils", "release": "6.el7", "source": "rpm", "version": "0.4" } ], "python-setuptools": [ { "arch": "noarch", "epoch": null, "name": "python-setuptools", "release": "7.el7", "source": "rpm", "version": "0.9.8" } ], "python-six": [ { "arch": "noarch", "epoch": null, "name": "python-six", "release": "2.el7", "source": "rpm", "version": "1.9.0" } ], "python-slip": [ { "arch": "noarch", "epoch": null, "name": "python-slip", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-slip-dbus": [ { "arch": "noarch", "epoch": null, "name": "python-slip-dbus", "release": "4.el7", "source": "rpm", "version": "0.4.0" } ], "python-urlgrabber": [ { "arch": "noarch", "epoch": null, "name": "python-urlgrabber", "release": "10.el7", "source": "rpm", "version": "3.10" } ], "python-urllib3": [ { "arch": "noarch", "epoch": null, "name": "python-urllib3", "release": "7.el7", "source": "rpm", "version": "1.10.2" } ], "python2-dnf": [ { "arch": "noarch", "epoch": null, "name": "python2-dnf", "release": "2.el7_9", "source": "rpm", "version": "4.0.9.2" } ], "python2-hawkey": [ { "arch": "x86_64", "epoch": null, "name": "python2-hawkey", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "python2-libcomps": [ { "arch": "x86_64", "epoch": null, "name": "python2-libcomps", "release": "14.el7", "source": "rpm", "version": "0.1.8" } ], "python2-libdnf": [ { "arch": "x86_64", "epoch": null, "name": "python2-libdnf", "release": "2.el7_9", "source": "rpm", "version": "0.22.5" } ], "pyxattr": [ { "arch": "x86_64", "epoch": null, "name": "pyxattr", "release": "5.el7", "source": "rpm", "version": "0.5.1" } ], "qa-tools": [ { "arch": "noarch", "epoch": null, "name": "qa-tools", "release": "4.el7_9", "source": "rpm", "version": "4.1" } ], "qemu-guest-agent": [ { "arch": "x86_64", "epoch": 10, "name": "qemu-guest-agent", "release": "3.el7", "source": "rpm", "version": "2.12.0" } ], "qrencode-libs": [ { "arch": "x86_64", "epoch": null, "name": "qrencode-libs", "release": "3.el7", "source": "rpm", "version": "3.4.1" } ], "quota": [ { "arch": "x86_64", "epoch": 1, "name": "quota", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "quota-nls": [ { "arch": "noarch", "epoch": 1, "name": "quota-nls", "release": "19.el7", "source": "rpm", "version": "4.01" } ], "readline": [ { "arch": "x86_64", "epoch": null, "name": "readline", "release": "11.el7", "source": "rpm", "version": "6.2" } ], "restraint": [ { "arch": "x86_64", "epoch": null, "name": "restraint", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "restraint-rhts": [ { "arch": "x86_64", "epoch": null, "name": "restraint-rhts", "release": "1.el7bkr", "source": "rpm", "version": "0.4.4" } ], "rng-tools": [ { "arch": "x86_64", "epoch": null, "name": "rng-tools", "release": "5.el7", "source": "rpm", "version": "6.3.1" } ], "rootfiles": [ { "arch": "noarch", "epoch": null, "name": "rootfiles", "release": "11.el7", "source": "rpm", "version": "8.1" } ], "rpcbind": [ { "arch": "x86_64", "epoch": null, "name": "rpcbind", "release": "49.el7", "source": "rpm", "version": "0.2.0" } ], "rpm": [ { "arch": "x86_64", "epoch": null, "name": "rpm", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-build-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-build-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-libs": [ { "arch": "x86_64", "epoch": null, "name": "rpm-libs", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rpm-python": [ { "arch": "x86_64", "epoch": null, "name": "rpm-python", "release": "48.el7_9", "source": "rpm", "version": "4.11.3" } ], "rsync": [ { "arch": "x86_64", "epoch": null, "name": "rsync", "release": "12.el7_9", "source": "rpm", "version": "3.1.2" } ], "rsyslog": [ { "arch": "x86_64", "epoch": null, "name": "rsyslog", "release": "57.el7_9.3", "source": "rpm", "version": "8.24.0" } ], "ruby": [ { "arch": "x86_64", "epoch": null, "name": "ruby", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-irb": [ { "arch": "noarch", "epoch": null, "name": "ruby-irb", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "ruby-libs": [ { "arch": "x86_64", "epoch": null, "name": "ruby-libs", "release": "39.el7_9", "source": "rpm", "version": "2.0.0.648" } ], "rubygem-bigdecimal": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-bigdecimal", "release": "39.el7_9", "source": "rpm", "version": "1.2.0" } ], "rubygem-io-console": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-io-console", "release": "39.el7_9", "source": "rpm", "version": "0.4.2" } ], "rubygem-json": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-json", "release": "39.el7_9", "source": "rpm", "version": "1.7.7" } ], "rubygem-psych": [ { "arch": "x86_64", "epoch": null, "name": "rubygem-psych", "release": "39.el7_9", "source": "rpm", "version": "2.0.0" } ], "rubygem-rdoc": [ { "arch": "noarch", "epoch": null, "name": "rubygem-rdoc", "release": "39.el7_9", "source": "rpm", "version": "4.0.0" } ], "rubygems": [ { "arch": "noarch", "epoch": null, "name": "rubygems", "release": "39.el7_9", "source": "rpm", "version": "2.0.14.1" } ], "sed": [ { "arch": "x86_64", "epoch": null, "name": "sed", "release": "7.el7", "source": "rpm", "version": "4.2.2" } ], "selinux-policy": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "selinux-policy-targeted": [ { "arch": "noarch", "epoch": null, "name": "selinux-policy-targeted", "release": "268.el7_9.2", "source": "rpm", "version": "3.13.1" } ], "setools-libs": [ { "arch": "x86_64", "epoch": null, "name": "setools-libs", "release": "4.el7", "source": "rpm", "version": "3.3.8" } ], "setup": [ { "arch": "noarch", "epoch": null, "name": "setup", "release": "11.el7", "source": "rpm", "version": "2.8.71" } ], "sg3_utils": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "sg3_utils-libs": [ { "arch": "x86_64", "epoch": 1, "name": "sg3_utils-libs", "release": "19.el7", "source": "rpm", "version": "1.37" } ], "shadow-utils": [ { "arch": "x86_64", "epoch": 2, "name": "shadow-utils", "release": "5.el7", "source": "rpm", "version": "4.6" } ], "shared-mime-info": [ { "arch": "x86_64", "epoch": null, "name": "shared-mime-info", "release": "5.el7", "source": "rpm", "version": "1.8" } ], "slang": [ { "arch": "x86_64", "epoch": null, "name": "slang", "release": "11.el7", "source": "rpm", "version": "2.2.4" } ], "snappy": [ { "arch": "x86_64", "epoch": null, "name": "snappy", "release": "3.el7", "source": "rpm", "version": "1.1.0" } ], "sqlite": [ { "arch": "x86_64", "epoch": null, "name": "sqlite", "release": "8.el7_7.1", "source": "rpm", "version": "3.7.17" } ], "strace": [ { "arch": "x86_64", "epoch": null, "name": "strace", "release": "7.el7_9", "source": "rpm", "version": "4.24" } ], "sudo": [ { "arch": "x86_64", "epoch": null, "name": "sudo", "release": "10.el7_9.3", "source": "rpm", "version": "1.8.23" } ], "systemd": [ { "arch": "x86_64", "epoch": null, "name": "systemd", "release": "78.el7_9.9", "source": "rpm", "version": "219" } ], "systemd-libs": [ { "arch": "x86_64", "epoch": null, "name": "systemd-libs", "release": "78.el7_9.9", "source": "rpm", "version": "219" } ], "systemd-sysv": [ { "arch": "x86_64", "epoch": null, "name": "systemd-sysv", "release": "78.el7_9.9", "source": "rpm", "version": "219" } ], "systemtap": [ { "arch": "x86_64", "epoch": null, "name": "systemtap", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-client": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-client", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-devel": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-devel", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "systemtap-runtime": [ { "arch": "x86_64", "epoch": null, "name": "systemtap-runtime", "release": "13.el7", "source": "rpm", "version": "4.0" } ], "sysvinit-tools": [ { "arch": "x86_64", "epoch": null, "name": "sysvinit-tools", "release": "14.dsf.el7", "source": "rpm", "version": "2.88" } ], "tar": [ { "arch": "x86_64", "epoch": 2, "name": "tar", "release": "35.el7", "source": "rpm", "version": "1.26" } ], "tcp_wrappers": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "tcp_wrappers-libs": [ { "arch": "x86_64", "epoch": null, "name": "tcp_wrappers-libs", "release": "77.el7", "source": "rpm", "version": "7.6" } ], "teamd": [ { "arch": "x86_64", "epoch": null, "name": "teamd", "release": "3.el7", "source": "rpm", "version": "1.29" } ], "time": [ { "arch": "x86_64", "epoch": null, "name": "time", "release": "45.el7", "source": "rpm", "version": "1.7" } ], "tps-devel": [ { "arch": "noarch", "epoch": null, "name": "tps-devel", "release": "1", "source": "rpm", "version": "2.44.50" } ], "tuned": [ { "arch": "noarch", "epoch": null, "name": "tuned", "release": "12.el7_9", "source": "rpm", "version": "2.11.0" } ], "tzdata": [ { "arch": "noarch", "epoch": null, "name": "tzdata", "release": "1.el7", "source": "rpm", "version": "2024a" } ], "unzip": [ { "arch": "x86_64", "epoch": null, "name": "unzip", "release": "24.el7_9", "source": "rpm", "version": "6.0" } ], "ustr": [ { "arch": "x86_64", "epoch": null, "name": "ustr", "release": "16.el7", "source": "rpm", "version": "1.0.4" } ], "util-linux": [ { "arch": "x86_64", "epoch": null, "name": "util-linux", "release": "65.el7_9.1", "source": "rpm", "version": "2.23.2" } ], "vim-common": [ { "arch": "x86_64", "epoch": 2, "name": "vim-common", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-enhanced": [ { "arch": "x86_64", "epoch": 2, "name": "vim-enhanced", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-filesystem": [ { "arch": "x86_64", "epoch": 2, "name": "vim-filesystem", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "vim-minimal": [ { "arch": "x86_64", "epoch": 2, "name": "vim-minimal", "release": "8.el7_9", "source": "rpm", "version": "7.4.629" } ], "virt-what": [ { "arch": "x86_64", "epoch": null, "name": "virt-what", "release": "4.el7_9.1", "source": "rpm", "version": "1.18" } ], "wget": [ { "arch": "x86_64", "epoch": null, "name": "wget", "release": "18.el7_6.1", "source": "rpm", "version": "1.14" } ], "which": [ { "arch": "x86_64", "epoch": null, "name": "which", "release": "7.el7", "source": "rpm", "version": "2.20" } ], "wpa_supplicant": [ { "arch": "x86_64", "epoch": 1, "name": "wpa_supplicant", "release": "12.el7_9.2", "source": "rpm", "version": "2.6" } ], "xfsprogs": [ { "arch": "x86_64", "epoch": null, "name": "xfsprogs", "release": "22.el7", "source": "rpm", "version": "4.5.0" } ], "xz": [ { "arch": "x86_64", "epoch": null, "name": "xz", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "xz-libs": [ { "arch": "x86_64", "epoch": null, "name": "xz-libs", "release": "2.el7_9", "source": "rpm", "version": "5.2.2" } ], "yum": [ { "arch": "noarch", "epoch": null, "name": "yum", "release": "168.el7.centos", "source": "rpm", "version": "3.4.3" } ], "yum-metadata-parser": [ { "arch": "x86_64", "epoch": null, "name": "yum-metadata-parser", "release": "10.el7", "source": "rpm", "version": "1.1.4" } ], "yum-plugin-fastestmirror": [ { "arch": "noarch", "epoch": null, "name": "yum-plugin-fastestmirror", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "yum-utils": [ { "arch": "noarch", "epoch": null, "name": "yum-utils", "release": "54.el7_8", "source": "rpm", "version": "1.1.31" } ], "zip": [ { "arch": "x86_64", "epoch": null, "name": "zip", "release": "11.el7", "source": "rpm", "version": "3.0" } ], "zlib": [ { "arch": "x86_64", "epoch": null, "name": "zlib", "release": "21.el7_9", "source": "rpm", "version": "1.2.7" } ] } }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog version] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:48 Saturday 24 February 2024 08:48:03 +0000 (0:00:01.096) 0:01:42.103 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_version": "8.24.0" }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 1] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:55 Saturday 24 February 2024 08:48:03 +0000 (0:00:00.024) 0:01:42.127 ***** ok: [sut] => {} MSG: Rsyslog_version is 8.24.0, which is older than "8.37.0-7.2". TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version 2] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:60 Saturday 24 February 2024 08:48:03 +0000 (0:00:00.026) 0:01:42.154 ***** skipping: [sut] => { "false_condition": "__rsyslog_version is version('8.37.0-7.2', '>')" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Set __rsyslog_failed_validation] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:68 Saturday 24 February 2024 08:48:03 +0000 (0:00:00.022) 0:01:42.177 ***** skipping: [sut] => { "changed": false, "false_condition": "rsyslog_in_image | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create the config directory if it does not exist] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:76 Saturday 24 February 2024 08:48:03 +0000 (0:00:00.026) 0:01:42.203 ***** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/etc/rsyslog.d", "secontext": "system_u:object_r:syslog_conf_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create a work directory] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:83 Saturday 24 February 2024 08:48:04 +0000 (0:00:00.150) 0:01:42.353 ***** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0700", "owner": "root", "path": "/var/lib/rsyslog", "secontext": "system_u:object_r:syslogd_var_lib_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Create logging directory if it does not exist or the ownership and/or modes are different.] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:89 Saturday 24 February 2024 08:48:04 +0000 (0:00:00.153) 0:01:42.506 ***** ok: [sut] => { "changed": false, "gid": 0, "group": "root", "mode": "0755", "owner": "root", "path": "/var/log", "secontext": "system_u:object_r:var_log_t:s0", "size": 4096, "state": "directory", "uid": 0 } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate global rule to add to __rsyslog_common_rules] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:97 Saturday 24 February 2024 08:48:04 +0000 (0:00:00.157) 0:01:42.663 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_common_rules": [ { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate common rsyslog configuration files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:120 Saturday 24 February 2024 08:48:04 +0000 (0:00:00.085) 0:01:42.749 ***** skipping: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Initialize list of template results] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:144 Saturday 24 February 2024 08:48:04 +0000 (0:00:00.044) 0:01:42.794 ***** ok: [sut] => { "ansible_facts": { "__rsyslog_template_results": [ { "changed": false, "msg": "All items skipped", "results": [ { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False", "skipped": true }, { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "rsyslog_inputs | d([])", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "skip_reason": "Conditional result was False", "skipped": true } ], "skipped": true } ] }, "changed": false } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Remove common config files in rsyslog.d] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:148 Saturday 24 February 2024 08:48:04 +0000 (0:00:00.042) 0:01:42.836 ***** skipping: [sut] => (item={'filename': '00-global.conf', 'comment': 'Global options', 'options': 'global(\n workDirectory="/var/lib/rsyslog"\n maxMessageSize="8192"\n)\n'}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "comment": "Global options", "filename": "00-global.conf", "options": "global(\n workDirectory=\"/var/lib/rsyslog\"\n maxMessageSize=\"8192\"\n)\n" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'local-modules', 'type': 'modules', 'state': 'absent', 'sections': [{'options': '# Mark message periodically by immark, if set to true.\nmodule(load="immark" interval="3600")'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "local-modules", "sections": [ { "options": "# Mark message periodically by immark, if set to true.\nmodule(load=\"immark\" interval=\"3600\")" } ], "state": "absent", "type": "modules" }, "skip_reason": "Conditional result was False" } skipping: [sut] => (item={'name': 'common-defaults', 'type': 'global', 'sections': [{'comment': 'Log every message', 'options': '$RepeatedMsgReduction off'}]}) => { "ansible_loop_var": "inner_item", "changed": false, "false_condition": "not __rsyslog_enabled | bool", "inner_item": { "name": "common-defaults", "sections": [ { "comment": "Log every message", "options": "$RepeatedMsgReduction off" } ], "type": "global" }, "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include input sub-vars] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:166 Saturday 24 February 2024 08:48:04 +0000 (0:00:00.068) 0:01:42.904 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run input sub-tasks] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:177 Saturday 24 February 2024 08:48:04 +0000 (0:00:00.027) 0:01:42.932 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Include output sub-vars] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:192 Saturday 24 February 2024 08:48:04 +0000 (0:00:00.038) 0:01:42.971 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Run output sub-tasks] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:204 Saturday 24 February 2024 08:48:04 +0000 (0:00:00.016) 0:01:42.988 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get rsyslog config files not owned by any package] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:217 Saturday 24 February 2024 08:48:04 +0000 (0:00:00.019) 0:01:43.007 ***** ok: [sut] => { "changed": false, "cmd": "set -euo pipefail\nfor conf in $( ls \"/etc/rsyslog.d\" ); do\n rstr=$( rpm -qf \"/etc/rsyslog.d/$conf\" 2>&1 || : )\n if [[ \"$rstr\" == *\"not owned by any package\"* ]]; then\n echo \"/etc/rsyslog.d/$conf\"\n fi\ndone\n", "delta": "0:00:00.259026", "end": "2024-02-24 08:48:05.064587", "failed_when_result": false, "rc": 0, "start": "2024-02-24 08:48:04.805561" } STDOUT: /etc/rsyslog.d/00-global.conf /etc/rsyslog.d/05-common-defaults.conf /etc/rsyslog.d/10-input-files-modules.conf /etc/rsyslog.d/10-output-files-modules.conf /etc/rsyslog.d/10-output-forwards-modules.conf /etc/rsyslog.d/30-output-files-files_test0.conf /etc/rsyslog.d/90-input-basics-basic_input.conf TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Purge - remove files not generated by current state] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:234 Saturday 24 February 2024 08:48:05 +0000 (0:00:00.423) 0:01:43.430 ***** changed: [sut] => (item=/etc/rsyslog.d/10-output-files-modules.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/10-output-files-modules.conf", "path": "/etc/rsyslog.d/10-output-files-modules.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/90-input-basics-basic_input.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "path": "/etc/rsyslog.d/90-input-basics-basic_input.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/10-output-forwards-modules.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/10-output-forwards-modules.conf", "path": "/etc/rsyslog.d/10-output-forwards-modules.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/30-output-files-files_test0.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/30-output-files-files_test0.conf", "path": "/etc/rsyslog.d/30-output-files-files_test0.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/05-common-defaults.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/05-common-defaults.conf", "path": "/etc/rsyslog.d/05-common-defaults.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/10-input-files-modules.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/10-input-files-modules.conf", "path": "/etc/rsyslog.d/10-input-files-modules.conf", "state": "absent" } changed: [sut] => (item=/etc/rsyslog.d/00-global.conf) => { "ansible_loop_var": "item", "changed": true, "item": "/etc/rsyslog.d/00-global.conf", "path": "/etc/rsyslog.d/00-global.conf", "state": "absent" } Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. Notification for handler Restart rsyslogd has been saved. TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy custom config files if they are specified in rsyslog_custom_config_files variable array.] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:254 Saturday 24 February 2024 08:48:06 +0000 (0:00:00.999) 0:01:44.430 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check tls is enabled in forwards output or remote input and logging_pki_files] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:275 Saturday 24 February 2024 08:48:06 +0000 (0:00:00.025) 0:01:44.456 ***** skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => (item=[]) => { "ansible_loop_var": "item", "changed": false, "false_condition": "item | length > 0", "item": [], "skip_reason": "Conditional result was False" } skipping: [sut] => { "changed": false } MSG: All items skipped TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local ca_cert file to the target if needed] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:283 Saturday 24 February 2024 08:48:06 +0000 (0:00:00.037) 0:01:44.494 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local cert file to the target if needed] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:295 Saturday 24 February 2024 08:48:06 +0000 (0:00:00.113) 0:01:44.607 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Copy local key file to the target if needed] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:307 Saturday 24 February 2024 08:48:06 +0000 (0:00:00.036) 0:01:44.644 ***** skipping: [sut] => { "changed": false, "false_condition": "__logging_forwards_tls | length > 0 or __logging_remote_tls | length > 0", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Enable rsyslog service] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:319 Saturday 24 February 2024 08:48:06 +0000 (0:00:00.035) 0:01:44.679 ***** changed: [sut] => { "changed": true, "enabled": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestampMonotonic": "0", "ActiveExitTimestampMonotonic": "0", "ActiveState": "inactive", "After": "network.target network-online.target basic.target system.slice", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "no", "AssertTimestampMonotonic": "0", "Before": "shutdown.target multi-user.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "no", "ConditionTimestampMonotonic": "0", "Conflicts": "shutdown.target", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "0", "ExecMainStartTimestampMonotonic": "0", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[n/a] ; stop_time=[n/a] ; pid=0 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestampMonotonic": "0", "InactiveExitTimestampMonotonic": "0", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "0", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "basic.target system.slice", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "dead", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network-online.target network.target", "WatchdogTimestampMonotonic": "0", "WatchdogUSec": "0" } } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Disable rsyslog service] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:328 Saturday 24 February 2024 08:48:06 +0000 (0:00:00.251) 0:01:44.930 ***** skipping: [sut] => { "changed": false, "false_condition": "not __rsyslog_enabled | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Check rsyslog version] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:337 Saturday 24 February 2024 08:48:06 +0000 (0:00:00.027) 0:01:44.958 ***** skipping: [sut] => { "false_condition": "__rsyslog_failed_validation | d(false)" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : See if there are any config files] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:349 Saturday 24 February 2024 08:48:06 +0000 (0:00:00.025) 0:01:44.983 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_generate_conf | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Get mode of rsyslog.conf if it exists] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:356 Saturday 24 February 2024 08:48:06 +0000 (0:00:00.028) 0:01:45.012 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_generate_conf | bool", "skip_reason": "Conditional result was False" } TASK [fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate main rsyslog configuration] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:362 Saturday 24 February 2024 08:48:06 +0000 (0:00:00.027) 0:01:45.039 ***** skipping: [sut] => { "changed": false, "false_condition": "__rsyslog_generate_conf | bool", "skip_reason": "Conditional result was False" } TASK [Cleaning up __testfile__] ************************************************ task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:555 Saturday 24 February 2024 08:48:06 +0000 (0:00:00.043) 0:01:45.083 ***** changed: [sut] => { "changed": true, "path": "/tmp/__testfile__", "state": "absent" } TASK [Check ports managed by firewall and selinux] ***************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:560 Saturday 24 February 2024 08:48:06 +0000 (0:00:00.138) 0:01:45.222 ***** included: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml for sut TASK [Check ports are not retrieved if both logging_manage_firewall and logging_manage_selinux are not true] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:3 Saturday 24 February 2024 08:48:06 +0000 (0:00:00.061) 0:01:45.284 ***** ok: [sut] => { "changed": false } MSG: All assertions passed TASK [Check firewall port status (manage - tcp)] ******************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:13 Saturday 24 February 2024 08:48:06 +0000 (0:00:00.029) 0:01:45.313 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check firewall port status (manage - udp)] ******************************* task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:22 Saturday 24 February 2024 08:48:06 +0000 (0:00:00.014) 0:01:45.328 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - tcp)] *************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:34 Saturday 24 February 2024 08:48:07 +0000 (0:00:00.015) 0:01:45.344 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Check associated selinux ports (manage - udp)] *************************** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tasks/check_firewall_selinux.yml:44 Saturday 24 February 2024 08:48:07 +0000 (0:00:00.023) 0:01:45.367 ***** skipping: [sut] => { "changed": false, "skipped_reason": "No items in the list" } TASK [Force all notified handlers to run at this point, not waiting for normal sync points] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:565 Saturday 24 February 2024 08:48:07 +0000 (0:00:00.015) 0:01:45.383 ***** NOTIFIED HANDLER fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd for sut META: triggered running handlers for sut RUNNING HANDLER [fedora.linux_system_roles.private_logging_subrole_rsyslog : Restart rsyslogd] *** task path: /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/handlers/main.yml:2 Saturday 24 February 2024 08:48:07 +0000 (0:00:00.015) 0:01:45.398 ***** changed: [sut] => { "changed": true, "name": "rsyslog", "state": "started", "status": { "ActiveEnterTimestamp": "Sat 2024-02-24 08:48:06 UTC", "ActiveEnterTimestampMonotonic": "1302608592", "ActiveExitTimestampMonotonic": "0", "ActiveState": "active", "After": "network.target network-online.target basic.target system.slice", "AllowIsolate": "no", "AmbientCapabilities": "0", "AssertResult": "yes", "AssertTimestamp": "Sat 2024-02-24 08:48:06 UTC", "AssertTimestampMonotonic": "1302602448", "Before": "shutdown.target multi-user.target", "BlockIOAccounting": "no", "BlockIOWeight": "18446744073709551615", "CPUAccounting": "no", "CPUQuotaPerSecUSec": "infinity", "CPUSchedulingPolicy": "0", "CPUSchedulingPriority": "0", "CPUSchedulingResetOnFork": "no", "CPUShares": "18446744073709551615", "CanIsolate": "no", "CanReload": "no", "CanStart": "yes", "CanStop": "yes", "CapabilityBoundingSet": "18446744073709551615", "CollectMode": "inactive", "ConditionResult": "yes", "ConditionTimestamp": "Sat 2024-02-24 08:48:06 UTC", "ConditionTimestampMonotonic": "1302602448", "Conflicts": "shutdown.target", "ControlGroup": "/system.slice/rsyslog.service", "ControlPID": "0", "DefaultDependencies": "yes", "Delegate": "no", "Description": "System Logging Service", "DevicePolicy": "auto", "Documentation": "man:rsyslogd(8) http://www.rsyslog.com/doc/", "EnvironmentFile": "/etc/sysconfig/rsyslog (ignore_errors=yes)", "ExecMainCode": "0", "ExecMainExitTimestampMonotonic": "0", "ExecMainPID": "15932", "ExecMainStartTimestamp": "Sat 2024-02-24 08:48:06 UTC", "ExecMainStartTimestampMonotonic": "1302603145", "ExecMainStatus": "0", "ExecStart": "{ path=/usr/sbin/rsyslogd ; argv[]=/usr/sbin/rsyslogd -n $SYSLOGD_OPTIONS ; ignore_errors=no ; start_time=[Sat 2024-02-24 08:48:06 UTC] ; stop_time=[n/a] ; pid=15932 ; code=(null) ; status=0/0 }", "FailureAction": "none", "FileDescriptorStoreMax": "0", "FragmentPath": "/usr/lib/systemd/system/rsyslog.service", "GuessMainPID": "yes", "IOScheduling": "0", "Id": "rsyslog.service", "IgnoreOnIsolate": "no", "IgnoreOnSnapshot": "no", "IgnoreSIGPIPE": "yes", "InactiveEnterTimestampMonotonic": "0", "InactiveExitTimestamp": "Sat 2024-02-24 08:48:06 UTC", "InactiveExitTimestampMonotonic": "1302603190", "JobTimeoutAction": "none", "JobTimeoutUSec": "0", "KillMode": "control-group", "KillSignal": "15", "LimitAS": "18446744073709551615", "LimitCORE": "18446744073709551615", "LimitCPU": "18446744073709551615", "LimitDATA": "18446744073709551615", "LimitFSIZE": "18446744073709551615", "LimitLOCKS": "18446744073709551615", "LimitMEMLOCK": "65536", "LimitMSGQUEUE": "819200", "LimitNICE": "0", "LimitNOFILE": "4096", "LimitNPROC": "14311", "LimitRSS": "18446744073709551615", "LimitRTPRIO": "0", "LimitRTTIME": "18446744073709551615", "LimitSIGPENDING": "14311", "LimitSTACK": "18446744073709551615", "LoadState": "loaded", "MainPID": "15932", "MemoryAccounting": "no", "MemoryCurrent": "18446744073709551615", "MemoryLimit": "18446744073709551615", "MountFlags": "0", "Names": "rsyslog.service", "NeedDaemonReload": "no", "Nice": "0", "NoNewPrivileges": "no", "NonBlocking": "no", "NotifyAccess": "main", "OOMScoreAdjust": "0", "OnFailureJobMode": "replace", "PermissionsStartOnly": "no", "PrivateDevices": "no", "PrivateNetwork": "no", "PrivateTmp": "no", "ProtectHome": "no", "ProtectSystem": "no", "RefuseManualStart": "no", "RefuseManualStop": "no", "RemainAfterExit": "no", "Requires": "basic.target system.slice", "Restart": "on-failure", "RestartUSec": "100ms", "Result": "success", "RootDirectoryStartOnly": "no", "RuntimeDirectoryMode": "0755", "SameProcessGroup": "no", "SecureBits": "0", "SendSIGHUP": "no", "SendSIGKILL": "yes", "Slice": "system.slice", "StandardError": "inherit", "StandardInput": "null", "StandardOutput": "null", "StartLimitAction": "none", "StartLimitBurst": "5", "StartLimitInterval": "10000000", "StartupBlockIOWeight": "18446744073709551615", "StartupCPUShares": "18446744073709551615", "StatusErrno": "0", "StopWhenUnneeded": "no", "SubState": "running", "SyslogLevelPrefix": "yes", "SyslogPriority": "30", "SystemCallErrorNumber": "0", "TTYReset": "no", "TTYVHangup": "no", "TTYVTDisallocate": "no", "TasksAccounting": "no", "TasksCurrent": "18446744073709551615", "TasksMax": "18446744073709551615", "TimeoutStartUSec": "1min 30s", "TimeoutStopUSec": "1min 30s", "TimerSlackNSec": "50000", "Transient": "no", "Type": "notify", "UMask": "0066", "UnitFilePreset": "enabled", "UnitFileState": "enabled", "WantedBy": "multi-user.target", "Wants": "network-online.target network.target", "WatchdogTimestamp": "Sat 2024-02-24 08:48:06 UTC", "WatchdogTimestampMonotonic": "1302608553", "WatchdogUSec": "0" } } PLAY RECAP ********************************************************************* sut : ok=442 changed=61 unreachable=0 failed=0 skipped=493 rescued=0 ignored=0 Saturday 24 February 2024 08:48:07 +0000 (0:00:00.355) 0:01:45.753 ***** =============================================================================== fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages --- 5.75s /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:30 fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset original confs - logging package is absent --- 4.53s /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:22 fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages --- 2.76s /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:30 fedora.linux_system_roles.private_logging_subrole_rsyslog : Install/Update required packages --- 2.73s /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:30 fedora.linux_system_roles.private_logging_subrole_rsyslog : Purge - remove files not generated by current state --- 1.53s /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:234 fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts --- 1.49s /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset original confs - logging package is absent --- 1.47s /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:22 fedora.linux_system_roles.private_logging_subrole_rsyslog : Reset original confs - logging package is absent --- 1.44s /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:22 fedora.linux_system_roles.private_logging_subrole_rsyslog : Purge - remove files not generated by current state --- 1.42s /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:234 Check the fake second test log message is not in /var/log/messages ------ 1.14s /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:177 ---------------- fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts --- 1.13s /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts --- 1.10s /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 fedora.linux_system_roles.private_logging_subrole_rsyslog : Purge - remove files not generated by current state --- 1.00s /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:234 fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts --- 0.99s /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts --- 0.98s /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts --- 0.97s /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 fedora.linux_system_roles.private_logging_subrole_rsyslog : Gather package facts --- 0.97s /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:44 Gathering Facts --------------------------------------------------------- 0.95s /WORKDIR/git-weekly-ci8cl8u9ff/tests/tests_combination.yml:2 ------------------ fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate common rsyslog configuration files in rsyslog.d --- 0.90s /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:120 fedora.linux_system_roles.private_logging_subrole_rsyslog : Generate common rsyslog configuration files in rsyslog.d --- 0.84s /WORKDIR/git-weekly-ci8cl8u9ff/.collection/ansible_collections/fedora/linux_system_roles/roles/private_logging_subrole_rsyslog/tasks/main_core.yml:120 ---^---^---^---^---^--- # STDERR: ---v---v---v---v---v--- [DEPRECATION WARNING]: ANSIBLE_COLLECTIONS_PATHS option, does not fit var naming standard, use the singular form ANSIBLE_COLLECTIONS_PATH instead. This feature will be removed from ansible-core in version 2.19. Deprecation warnings can be disabled by setting deprecation_warnings=False in ansible.cfg. ---^---^---^---^---^---